Hollosi Information eXchange /HIX/
HIX TIPP 3080
Copyright (C) HIX
1999-01-13
Új cikk beküldése (a cikk tartalma az író felelőssége)
Megrendelés Lemondás
1 TIPP profil (mind)  35 sor     (cikkei)
2 S.M.S - Sigma Plot for Windows 4.0 (mind)  12 sor     (cikkei)
3 Teljesitmenyturak 1999 juniustol - kivonat (mind)  54 sor     (cikkei)
4 Olcso (ingyen) PC (mind)  12 sor     (cikkei)
5 e-mail - SMS Westelnel (mind)  10 sor     (cikkei)
6 Family Treemaker (mind)  17 sor     (cikkei)
7 Re: Csaholnak az ebek ( 27 sor ) (mind)  30 sor     (cikkei)
8 Re: Jogaszok figyelem...? Konyvkiado! (#3078) (mind)  17 sor     (cikkei)
9 Re: MATAVnet (#3079) (mind)  26 sor     (cikkei)
10 Re: Szerzoi jog (#3079) (mind)  12 sor     (cikkei)
11 Re: energiatakarekos lampa (mind)  16 sor     (cikkei)
12 VAMTARIFA (mind)  9 sor     (cikkei)
13 keresek tele kocsit Mun-Bud (mind)  6 sor     (cikkei)
14 Kutya-idomito (mind)  17 sor     (cikkei)
15 Torvenyek, rendeletek (mind)  25 sor     (cikkei)
16 SMS Westel-re net-en keresztul (mind)  11 sor     (cikkei)
17 Re: Copperfield bukta (mind)  40 sor     (cikkei)
18 szabadsag (mind)  17 sor     (cikkei)
19 Re: Hiba csak nalam? (TIPP.3079..990112) (mind)  33 sor     (cikkei)
20 Energiataki (mind)  10 sor     (cikkei)
21 Re: vasarnaptar (mind)  18 sor     (cikkei)
22 Hogyan talaljunk levelezopartnereket? (mind)  22 sor     (cikkei)
23 turul madarat keresek (mind)  5 sor     (cikkei)
24 Vizslak es gazdaik (mind)  11 sor     (cikkei)
25 Bocsanat keres (mind)  9 sor     (cikkei)
26 re: atveres: energiatakarekos lampa (mind)  23 sor     (cikkei)
27 MUNKA/forditas(angol) (mind)  15 sor     (cikkei)
28 Szauna (mind)  9 sor     (cikkei)
29 konyv (mind)  10 sor     (cikkei)
30 Randevu? (mind)  7 sor     (cikkei)
31 Penztargep (mind)  12 sor     (cikkei)
32 Alattomos Tolvaj Masina (mind)  31 sor     (cikkei)
33 Re: Eszrevetel (mind)  19 sor     (cikkei)
34 screensaver (mind)  3 sor     (cikkei)
35 Re: Szerzoi jog (mind)  5 sor     (cikkei)
36 olvasd el (mind)  23 sor     (cikkei)
37 matav utoljara (mind)  24 sor     (cikkei)
38 tejallergia (mind)  12 sor     (cikkei)
39 Erdotelek info (mind)  17 sor     (cikkei)
40 re:veszelyeshulladek-egeto (mind)  6 sor     (cikkei)
41 AJANDEK (mind)  10 sor     (cikkei)
42 Matav (mind)  55 sor     (cikkei)
43 matav akcio (mind)  12 sor     (cikkei)
44 Re: : Re: BMT (Internet ido) (mind)  17 sor     (cikkei)
45 Playstation (mind)  8 sor     (cikkei)
46 IntelliMouse driver (mind)  12 sor     (cikkei)
47 re 200 Ft (mind)  13 sor     (cikkei)
48 Referencia MBA kepzesrol (mind)  15 sor     (cikkei)
49 konyvkiadas (mind)  9 sor     (cikkei)
50 katonasag + diploma (mind)  50 sor     (cikkei)
51 videoszerviz (mind)  6 sor     (cikkei)
52 modellhajo (mind)  3 sor     (cikkei)
53 Y 2 K (mind)  19 sor     (cikkei)
54 [HIRDETES] E: 300 dpi lezernyomtato (mind)  13 sor     (cikkei)
55 [HIRDETES] e: memoriak (mind)  12 sor     (cikkei)
56 [HIRDETES] Spectrum+ 128k hol vagy? (mind)  8 sor     (cikkei)
57 [HIRDETES] elado cd,alaplap (mind)  8 sor     (cikkei)
58 [HIRDETES] Elado videoteka (mind)  9 sor     (cikkei)
59 [HIRDETES] Elado kamera es radios mikrofon (mind)  12 sor     (cikkei)
60 [HIRDETES] Miro PCTV Pro (mind)  6 sor     (cikkei)
61 [HIRDETES] genealogique fa (mind)  7 sor     (cikkei)
62 [HIRDETES] modemet veszek (mind)  8 sor     (cikkei)
63 [HIRDETES] Beyer dynamic fulhallgato (mind)  3 sor     (cikkei)
64 [HIRDETES] 2x80 W -os receiver (mind)  5 sor     (cikkei)
65 [HIRDETES] Elado: pentium alaplap,proc,memoria,HDD,CD (mind)  11 sor     (cikkei)
66 [HIRDETES] Kiado lakast keresek (mind)  8 sor     (cikkei)
67 [HIRDETES] Nagykpernyos szines TV (mind)  12 sor     (cikkei)
68 [HIRDETES] English by Stealth vetel (mind)  5 sor     (cikkei)
69 [HIRDETES] Elado pehelypaplan es parna (mind)  5 sor     (cikkei)
70 [HIRDETES] 486-os gep (mind)  13 sor     (cikkei)
71 [HIRDETES] Fiat Uno 1.4TD elado (mind)  2 sor     (cikkei)
72 [HIRDETES] Opel Ascona-t keresek! (mind)  5 sor     (cikkei)

+ - TIPP profil (mind) VÁLASZ  Feladó: (cikkei)

Hello!
Marias Tamas megemlitette, hogy csalatkozott a TIPP reklamozott profiljaban.
Azt hiszem, a TIPP a HIX zaszloshajoja, es minden mas abbol valt le,
ugyhogy az eklekticizmus megbocsathato, mert kell egy generalis
kaszalo.
No meg szerintem a TIPP-ben igazan jo tippeket kap az ember, olyan
tanacsokat, amelyeket az egesz eletben hasznat lehet venni.
Peldaul, osszevissza:
(csak az utolso hetek anyagabol)
1. Irjal helyesen, mert kulonben nem vesznek komolyan.
2. Ne legyel bunko, mert akkor masnap elromlik a szkennered.
3. Ne higyjel a szakertokben, mert egymassal homlokegyenest ellenkezo
   tanacsokat adnak, me'g a realtargyakban is.
4. Ne hidd, hogy a tudomanyos akademian csupa szakerto van.
5. Tanuld meg a PageDown-t kezelni, hogy elkeruld a freemail es 
   elender sotet katyuit.
6. Szokjal hozza, hogy az emberek 90 %-a irigy, es merges; nem
   veszik joneven, 
   ha a masik 25.000 forintert David Copperfield jegyet vesz, 
   ha a masik szafarira jar, 
   ha a masik USA-beli varosnevet ir a neve ala,
   ha a masiknak tobbje van, mint kisnyugdij.
7. Ne vard, hogy az utolso percben valaki kesz szakdolgozatot kuld    majd neke
d.
8. Szokj hozza, hogy az emberek kulonbozoek: ha te vagy baratnod
   terhes marad es segitseget kersz, lesz aki megker, hogy ugorj le
   a tizedikrol, de lesz olyan is, aki elkuldi a nogyogyasza cimet.

Egyelore ennyit!

Bebe, a Bolcs Bagoly.

Get your Free E-mail at http://sukosd.zzn.com
___________________________________________________________
Get your own Web-Based E-mail Service at http://www.zzn.com
+ - S.M.S - Sigma Plot for Windows 4.0 (mind) VÁLASZ  Feladó: (cikkei)

Kedves Tippelok!

Surgos segitseget szeretnem kerni azon sorstarsamtol, akit mar 
osszehozott a jo sors a fent nevezett programmal. Konkretan arrol 
lenne szo, hogy par kulfoldon szerkesztett es ebben a verzioban  
megirt filet szeretnek megnyitni es hasznalhato formatumba 
atkalapalni (SP  3.x vagy Excel graph nelkul). Az adatok lennenek 
fontosak. Szegedi vagyok, de az ugy erdekeben nem utazast is 
vallalok... Varom lelekmento E-mailetek:

Udv::
Peter
+ - Teljesitmenyturak 1999 juniustol - kivonat (mind) VÁLASZ  Feladó: (cikkei)

Gyalogos teljesitmenyturak, 1999 II. felev

Datum      Tura neve       Rajt
06.05	Koros 50 , 30 , 20   	Mezobereny	
06.05-06	Godollo 30 Ejsz     	Godollo	
06.05	Pentele 25               	Dunaujvaros	
06.12-13	Beac Szuper 8		
06.26	Balaton 50 , 30 , 20	Balatonfured	
07.10-11	Corvin 80 , 60 , 40 , 20	Erdokertes	
07.17	Mezofold 50 , 30     	Nagylok	
07.24	Denever 98            	Miskolc	
07.24	Ecskend 30             	Puspokhatvan	
07.24	Matrai tanc 40 , 25 , 15	Nagybatony	
08.07-08	Rockenbauer 130 , 70 , 40 	Nagykanizsa, (Radihaza, Bazakerettye)	
08.07	Bukk 900-as csucsai	Javorkut	
08.08	Tatralato 30             	Nagyborzsony	
08.14	Szondi 90 , 75 , 55 , 25	Nagyoroszi	
08.14	Cserehat 55 , 35 , 25	Encs, (Abaujszolnok)	
08.21	Maria kepek..., Kos Karoly Eml. (25)	Matrahaza	
08.21	Vertes 50 , 30 , 25	               Mor, Gant 	
08.28	Malyvad 55 , 30 , Szanazug 40	Gyula	
08.28	Pilisi Trapp (40)	               Leanyfalu	
09.04	Esztergom 20 , 10	                Esztergom	
09.05	Egervolgy 40 , 20 , 10	Pecs, Egervolgy	
09.11-12	Beac Maxi 110 , 50 , 30 Tery 80, Eotvos 70	Magyarkut,	
09.18	Meteor 50 , 43 , 21	Huvosvolgy	
09.18	Papi pipa 30             	Bukkosd	
09.18	Tiszai gatak (50)	                Tiszaujvaros	
09.18-19	Ember a gaton (50 , 40 , 10)	Mindszent, (Martely)	
09.18	A Medves kovein (40)	Salgotarjan	
09.19	Kisgyoni Nyolcas (50 , 25)	Kisgyon	
09.24-26	3*50	                                 Normafa	
09.25	Szanto 50                 	Pilisszanto	
09.25	Tancsics 40 , 20 , 10	Acsteszer	
09.25	Csik Ferenc 30 , 20 , 5	Gyenesdias	
09.25	Eger csillaga 50 , 35	Eger-Felnemet	
09.25	Hegyhat 50 , 30	                Vasvar	
10.02	Aradi vertanuk 41, Apaczai 27	Nyul	
10.02	Lokomotiv 424        	Szokolya	
10.09	Godollo 50 , 20      	Godollo	
10.09	Zselic 50 , 30 , 20     	Kaposvar	
10.09	Szureti Barangolasok 35 , 20	Zalaszentgrot	
10.09	Less Nandor 58 , 33 , 15	Cserepfalu	
10.16	Irottko 70 , 35          	Koszeg	
10.23	Deak 70 , 55 ,40 , 30 , 20	Sojtor	
10.30-31	Piros 85 , 65 , 50 , 35	Bp Csillaghegy	
11.6-7	Mecsek ejsz.          	Pecs, Egerv.	
11.13	Feher-var-palota 40, 25 ,20 , 10	Szekesfehervar	
11.13-14	Mezofold ejsz.        	Nagylok	
11.20	Merzse 58 , 20         	Bp, Bakancsos ut	
11.27	Tanuhegyek nyomaban 32	Badacsonytomaj	
12.18	Tojas 40 , 30 , 20     	Bp, Varosmajor u.	

----------
+ - Olcso (ingyen) PC (mind) VÁLASZ  Feladó: (cikkei)

Sziasztok !

A lakohelyemen Dunaharasztin van egy ifjusagi kulturcsoport, nemzetisegi
tanccal es zenevel illetve kisebb mertekben nepszokasokkal utjuk agyon
az idot. Hal' istennek tobb mint 100-an vagyunk, (8-25 eves korig) de a
sok adminisztacio es szervezes miatt szuksegunk lenne egy PCre.
Erdekelne, ha tud valaki palyazatot, vagy valami olyan szervezetet
akitol be lehetne szerezni egyet olcson. A valaszt maganba (is) kerem.

 

PS: A Siemens nem ad. (es nem ad el)
+ - e-mail - SMS Westelnel (mind) VÁLASZ  Feladó: (cikkei)

Szeretnek SMS-t kuldeni Westel-es mobiltelefonra, e-mail cimrol. Tudom,
hogy a Pannon rendszereben ez mukodik es web cimeim is vannak. Viszont a
Westellel nem boldogulok. Van valakinek otlete? (Es kulfoldrol is
mukodik-e?)

Elore is koszonom a megoldasokat!

Udv,

Dori
+ - Family Treemaker (mind) VÁLASZ  Feladó: (cikkei)

Egy-ket honapja irta valaki:
"Family Treemaker. a weben keress ra, nagy weblapja van, van letoltheto, de
van fizetos is. allitolag ez a legprofibb, a weben ezzel csinaljak az
amerikaiak teljes rokonsagat a par szaz evvel ezelotti kivandorlasig
viszamenoleg. (egy eve neztem meg)"

Pontosan honnan lehet letolteni? Nem talaltam meg. Sokat segitene, ha
meglenne.

Letoltottem a Generation designer programot. Nem rossz, de kicsit nehezkes.
Raadasul 30 nap utan fizetos, tehat nem tudok rajta mar dolgozni. Nem draga
(kb. 30 USD / ev), de csak kartyavak tudnek fizetni, es nem akarom megadni
a kartyaszamom Interneten.

Elore is koszonom a cimet!

Dori
+ - Re: Csaholnak az ebek ( 27 sor ) (mind) VÁLASZ  Feladó: (cikkei)

Sziasztok!

> (Peldaul regebben volt szo valami elmes szerkezetrol, ami a kutyak
szamara
> hallhato hangot bocsatott ki, ami akkor kapcsolt be, amikor csaholt az
> eb....  Emlekszik valaki erre?)

Nekem is! Nekem is!

Ugyanezt a gondunk, miota uj helyre koltoztunk! Minden iranyban van 2-2
kutya a szomszedoknal.
Ezek ejszaka elik a tarsas eletet es hosszu ugato partikba bonyolodnak.
A hulye gazdaikat persze nem zavarja, mert sosem hallgatnak el a dogok.

Es ez igy megy mar 5 hosszu honapja. A szomszedok megigertek, hogy majd
raszolnak a
kutyusokra, de semmi...
Nekunk is van ketto, - ez ugy latszik divat arrafele - de mind a ketto
kiskoraban le lett
szoktatva a felesleges ugatasrol.

Megdobalni En sem akarom a kutyakat, de egy ilyen fugyulo szerkezetet
szivesen kiprobalnek,
mert az ejszaka kozepen mar hiszterias rohamaim vannak!
A mieinket addig fuldugozzuk legfeljebb.

Koszonettel:


		Istvan
+ - Re: Jogaszok figyelem...? Konyvkiado! (#3078) (mind) VÁLASZ  Feladó: (cikkei)

> Szeretnek egy konyvkiadot letrehozni, iilletve dehogy szeretnek, csak
> ez tunik praktikusnak.  Barataimmal egy "verses"kotetet allitottunk

> Tehat ha kell vagy celszeru konyvkiado ehhez, akkor magam szeretnek
> csinalni egyet,  egy-ket evenkent egy-ket konyv kiadasara. Ha pedig
> nem kell, hasznalhatok-e a konyvben nem letezo kiadora valo hivatkozast?
> (itt nem az atveresrol, hanem a nem letezo kiado frappans nevenek
> felhasznalasarol van csak szo, affele virtualis kiadorol...).

Kiadhatjatok a muveket magankiadasban, de egyeni vallalkozokent vagy
Bt-kent is lehet konyvkiadassal foglalkozni.


  Ed

 Szemelyes valaszt a fent jelzett cimre kuldhetsz, ha kijavitod.
 I don't want ANY spam! [...] I don't like spam!  [Monty Python]
+ - Re: MATAVnet (#3079) (mind) VÁLASZ  Feladó: (cikkei)

> A MATAV internetes akcioja most mire, es kire
> vonatkozik?
> 
> En azt gondoltam, hogy a 18.00-tol 07.00-ig,
> + a hetvegi akcio csak a MATAVnet elofozetoi
> reszere vonatkozik.

Ezen idokozokben _minden_ helyi hivas csak 150 Ft-ig szamlaz, utana ingye'
van. Tehat arra is ervenyes, ha mondjuk a baratnoddel beszelgetsz orakig
(ha helyi a hivas) - bar azt szemelyesen jobb.


> Es, hogy csak az internetes csatlakozas szamlazasara,
> a telefondijra nem.
> Most arrol akartak meggyozni, hogy minden mas szolgaltatohoz
> kapcsolodo elofizeto is elvezi ezt a kedvezmenyt,
> es nemcsak az internetes kapcsolatban, hanem a telefonszamlaban
> is a 120 + AFA ervenyes.

Pont, hogy a telefondijra, es csak arra ervenyes.


  Ed

 Szemelyes valaszt a fent jelzett cimre kuldhetsz, ha kijavitod.
 I don't want ANY spam! [...] I don't like spam!  [Monty Python]
+ - Re: Szerzoi jog (#3079) (mind) VÁLASZ  Feladó: (cikkei)

> Erdekelne, hogy lejar-e valamikor az irok szerzoi joga.
> Pontosabban:
> Mikortol lehet egy magyar szerzo konyvet buntetlenul kirakni az internetre?

A szerzo halalatol szamitott 70 ev mulva. De ha a jogtulajdonos
engedelyezi, akkor hamarabb is.


  Ed

 Szemelyes valaszt a fent jelzett cimre kuldhetsz, ha kijavitod.
 I don't want ANY spam! [...] I don't like spam!  [Monty Python]
+ - Re: energiatakarekos lampa (mind) VÁLASZ  Feladó: (cikkei)

Hali,

nekem Philips 23W-os van (asszem, 100W-osnak megfelelo) es tobb mint 1/2
eve hasznaljuk. TOKELETES! Sotet konyhaban delutan felkapcsoljuk,
lefekveskor kikapcsoljuk, es semmi gond vele.
A felkapcsolas utan ~1 perccel mar csutka fennyel vilagit.

Szerintem ezeket olyan helyre kell tenni ahol kimondottan sokat kell
vilagitani veluk. Ott fel kell kapcsolni es hosszabb ideig ugy kell
hagyni, hisz baromi keveset fogyasztanak. A hagyomanyos fel/lekapcsolas
ART NEKIK, az elettartamukat szerintem ez jobban meghatarozza, mint a
tenyleges hasznalati ido. De nem vagyok szakerto, ez csak tapasztalat.

Egyebkent fel ev a garancia, ha kimegy vissza kell vinni.

Norbert
+ - VAMTARIFA (mind) VÁLASZ  Feladó: (cikkei)

Sziasztok!

Vamtarifakodok keresesehez valo progit talaltam a 
http://www.extra.hu/vamtarifa  cimen. 
Akinek tehat az adatbazis kell, annak javaslom a letolteset, hisz 
tartalmazza az aktualis adatbazist dbf formatumban.

Tisztelettel:
Rakonczai Pal
+ - keresek tele kocsit Mun-Bud (mind) VÁLASZ  Feladó: (cikkei)

Ha valaki januar 30-an ezen az utvonalon utazik 
     es meg van 1 hely a kocsiban es elvinne egy szemelyt
     (aranyos koltseg teritessel), akkor kerem jelentkezen 
     a fenti emailen.
     
     Koszonom, Jorg
+ - Kutya-idomito (mind) VÁLASZ  Feladó: (cikkei)

Tibor kerdezi:

(Peldaul regebben volt szo valami elmes szerkezetrol, ami a kutyak szamara
hallhato hangot bocsatott ki, ami akkor kapcsolt be, amikor csaholt az
eb....  Emlekszik valaki erre?)

Ma is kaphato (USA-ban). Magyarorszagon tilos hasznalni, 
mert olyan hullamhosszon dolgozik, ami valamit zavar.

Lezo

LLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLL
LL
LL     e-posta:  mailto:
LL     http://www.gjt.bme.hu/~lezo
LL
LLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLL
+ - Torvenyek, rendeletek (mind) VÁLASZ  Feladó: (cikkei)

Sajnos, a legtobb torveny- es rendelettar FIZETOS:

http://www.mhk.hu/Netscape/htm/Kezdolap.htm

http://www.kerszov.hu/

http://www.elenderinfo.hu/

Ket nemfizetos forras:

Csak torvenyek es orszaggyulesi hatarozatok 1990-tol napjainkig:
http://www.mkogy.hu/


Sajnos, csak valogatas 1949. es 1996. kozott:
http://www.sztaki.hu/providers/torvenytar/

Lezo

LLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLL
LL
LL     e-posta:  mailto:
LL     http://www.gjt.bme.hu/~lezo
LL
LLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLL
+ - SMS Westel-re net-en keresztul (mind) VÁLASZ  Feladó: (cikkei)

hello mindenki,

az sms.3box.de cimrol nem lehet tobbe sms-t kuldeni kulfoldi (nem nemet)
telefonokra, helyette a link egy del afrikai page-re vezet ahonnan csak
pannonra lehet.

surgosen szuksegem lenne egy a subjectben emlitett oldalra, ha tudtok
ilyet legyszi maganban a  cimre.

koszi,
mark
+ - Re: Copperfield bukta (mind) VÁLASZ  Feladó: (cikkei)

Egyertelmu, de a pimaszabb az, hogy regebbi filmjein kijelentettek
azt, hogy nincs semmilyen filmtrukk. A szabadsagszobor eltuntetese is
ilyen volt. Csinaltak egy forgathato platformot 2 toronnyal erre
felhuztak egy lepedot. Hatulrol megvilagitottak a lepedot, hogy ne
latszodjon at a messzi hatter veletlenul se. Elforgattak a platformot
a tenger fele. Elengedtek a  lepedot. A ket torony (a viszonyitasi
alap) ott volt. 

Ettol meg lehet jo buvesz. 

Djani

On Thu, 07 Jan 1999 03:39:18 GMT,  wrote:

>
>Sziasztok!
>
>Akinek megvan videon D. Copperfield azon "varazslata", mikor egy
>robbantasra elokeszitett toronyhazban egy pancelszekrenybe zarjak, es
>rarobbantjak a hazat (es persze a parkoloban elotunik a semmibol), azok
>figyelmebe ajanlom a kovetkezo reszt, ahol D. C. lebukik:
>
>Amikor bezarjak a pancelszekrenybe, ket zsaru egy lanccal is korbekoti a
>szefet. Ekkor a letelepitett kamera kepe rovid idore egyszerre lathato a
>mozgo kamera kepevel (kep a kepben), ugyanazt mutatjak. 
>Elvileg. 
>Gyakorlatilag viszont tisztan latszik hogy az egyik kamera kepen a szef
>gombjahoz alulrol HOZZAER a lanc, a masikon pedig kb. 5 cm-rel ALATTA
>lathato. Tehat ugyanaz a lanc a ket kamera kepen EGYIDOBEN egyertelmuen
>MASKEPP helyezkedik el. HOPPA!
>
>Ket kulon felvetel osszejatszva, semmi ketseg. Filmtrukk az egesz. Es
>aki egyszer hasznalta (bizonyithatoan), annal mi a bizonyitek, hogy
>tobbszor nem. Szerintem semmi.
>Nem mondom hogy minden mutatvanya filmtrukk, de azert elgondolkoztato,
>hogy miert is csodalkozik annyi ember a nagy eltuntetesein...
>
>Norbert
>
>
+ - szabadsag (mind) VÁLASZ  Feladó: (cikkei)

Bonzsur,

lassan fogadasokat tehetunk a szabadsag atvitelenek idopontjara (tetre, helyre,
 
befutora :-))

Eddig osszesen 4 idopont hangzott el:
jan 31.
feb 28.
marc 31.
jun 30.

Bar en is alkalmazott vagyok (papiron), mar csak a kivancsisag is hajt,
mi lesz ennek a vege.

Sziasztok,
DeeJayTiszy
+ - Re: Hiba csak nalam? (TIPP.3079..990112) (mind) VÁLASZ  Feladó: (cikkei)

Szia Laci, szervusztok!

 kerdi:
> Nektek is csak a fele jott le a #3078-as TIPP-bol?
> Vagy nekem szakadt csak felbe?

Nem csak Neked. Erre a cimre nekem is csak a csatolt .vcf
allomanyig jott. Pedig senddoc-kal is probaltam. Detto.
Erdekes, hogy a "terelovonal" is igy nez ki :"=3D=3D=3D=3D=3D..."
Viszont az otthoni cimemre rendesen megerkezett. A freemail
szerveren nem akadt fenn a vege. (Gondolom ezen mulik.)

Bar van mas elteres is: otthon magyar Outlook '97-tel magyar W98
alatt/(felett), idebent angol Outlook '98-cal (+ angol NT4.0)
levelezek. (Bocs' Lezo, biztos helyes ez igy? Vagy nem is ikes
ige a levelez[ik], ezert erzem eroltetettnek en is a mindenaron
valo targyas ragozast peldaul ebben az esetben?)

Mindjart kerdeznek is valamit Outlook-ugyben: az elobbi - benti -
kombinacioval sehogy nem tudom beallitani, hogy a CE nyelvu
leveleket kurirban lassam, csak arialt ismer. A Western nyelvvel
rendben, de nem mennek el az o" es u" betukhoz tartozo ekezetek.
Ezeket szepen lekaszalja. A lektor kicselezesere azt a trukkot
szoktam bevetni, hogy rovid o: es u: betuket irok helyettuk, azt
legalabb tildes es karetes (o~,u^) betukre hajlando cserelni.
Hab a tortan, hogy itt termeszetesen magyar billentyuzetem van,
otthon meg angol...

Volna valami otletetek (azon kivul, hogy foglalkozzak massal)?

Bata Gábor
mailto:, 
(ékezet is érkezhet)
+ - Energiataki (mind) VÁLASZ  Feladó: (cikkei)

Az eddigiekkel ellentetben fokent pozitiv tapasztalatom volt ezekkel a lampakka
l. Az egyik pl.: 3 eve mukodik a konyhankban a sok fel es lekapcsolas ellenere 
is. A masik is jol birja. Ami kozuluk gyorsan kipusztult az egy Philips go:mbeg
o volt. 

Egyebkent az ismeretsegi koreimben jol bevaltak.

Szoval ez azert nem ilyen egyszeru.

TG
+ - Re: vasarnaptar (mind) VÁLASZ  Feladó: (cikkei)

Szia!

Az 1999-es orszagos vasarnaptarral nem szolgalhatok, de egy havi, februari vasa
rnaptar tudok jpg. formatumban kuldeni, ha erdekel.

Udv
RakLaci.

x------------------x     0(’-’)0   x-------------x
 
http://www.extra.hu/raklaci	
Az ingyenes hirdetesek kiindulo pontja.

Az ekezeteket latom!!!!-	…….
**Aki nem hisz a csodakban, az nem realista!!!**
x--------------------------xx--------------------------x

(webes bekuldes, a bekuldo gepe: ppp195.delfin.hu)
+ - Hogyan talaljunk levelezopartnereket? (mind) VÁLASZ  Feladó: (cikkei)

Hogyan talaljunk levelezopartnereket?
( - Egy kezdo tanacsai - )

Eloszor is, ezzel szeretnek mindenkinek
koszonetet mondani aki segitsegemre volt.
Kezdesnek rendeljunk meg egy e-mail ujsagot
majd mondjuk le. Es itt jon a trukk: ne a szokasos
modon (unsubs), hanem a kezdo modjara:
" Tisztelt Szerkesztoseg ! " ...........
Kesz is a szuper megoldas, ket napon belul annyian
fognak irni (mindenki segiteni szeretne, bár ki igy,
-ki ugy), hogy csak gyozze az ember az olvasast.
Persze nem kell mindenkinek visszairni, de a megko-
szones nem art. Foleg lanyok figyelmebe ajanlom, ha
olyan ujsagot rendelnek ami nem is erdekli oket, csak
a kivancsisaguk teszi. Az en esetemben igy van ez a
fenysebesseg-tudomannyal. Hat, ilyen egyszeru ez a
tuti TIPP!!!

Udv: Rebi

Ui: Kossz mindenkinek!
+ - turul madarat keresek (mind) VÁLASZ  Feladó: (cikkei)

Kellene nekem egy turul madarat abrazolo kep. Emblema lesz belole, ugyhogy
sima ketszinu is megfelelne...


- Peter -
+ - Vizslak es gazdaik (mind) VÁLASZ  Feladó: (cikkei)

Sziasztok,
Vizslatulajdonosokkal, vizslatenyesztokkel vagy vizslat tarto vadaszokkal
szeretnem felvenni a kapcsolatot. Van egy lassan 18 hetes, rendkivul okos
vizslank es a tovabbi nevelese erdekeben szeretnek nehany tanacsot 'a
szakmabeliektol'. Ha valaki mindezek mellett meg Munchenben is lakik, akkor
azt kulon szerencsenek tartom.

Elore is kosz.

Eotvos Zsolt
Munchen
+ - Bocsanat keres (mind) VÁLASZ  Feladó: (cikkei)

Tisztelt TIPP-elok !

A #3078-as TIPP-re irt levelem veletlenul UU kodolva volt. Sajnos az Outlook
Express ujratelepitesekor ezt nem figyeltem. Ezert _megkovetek mindenkit_
Szoval elnezest a kodokert. Azota atallitottam es remelem ez a nyilvanos
bocsanatkero levelem olvashato lesz. Teszem ezt mindazert mert igazatok van
es azert, hogy ne egyenkent kelljen valaszolnom a reklamalo levelekre.
Udvozlettel
Tamas
+ - re: atveres: energiatakarekos lampa (mind) VÁLASZ  Feladó: (cikkei)

Lenne nehany hozzaszolasom:

>1. NEM tart 8000 oraig. Napi kb 2X1 orat hasznalva >HAMARABB egett ki a
>dragam, mint normal, wolframszalas tarsa! Ami 100 Ft >alatt van es 1000
>orara garantaljak.  (nem volt dimmelve, sem percenkent >kapcsolgatva.

Nekem ugyanennyit(!!) hasznalva mar majdnem 4(!!!) ev mukodik tokeletesen egy h
asonlo lampa.

>2. A fenyero nem annyival nagyobb, mint hirdetik >(szubjektiv), 

okok, szubjektiv, de szerintem eleg erosen vilagit

>raadasul par perc kell neki, mig eleri a maximum >fenyerot.

par perc?? nekem kb. 20 mp. kell hozza...
jjja, az adatok: Philips 23Watt

Varom a hozzaszolasokat:
Pho

> ---------------------------------------------------
Get free personalized email at http://www.iname.com
+ - MUNKA/forditas(angol) (mind) VÁLASZ  Feladó: (cikkei)

Sziasztok!

Angol forditok kerestetnek:

-magyarrol angolra muszaki szoveg
de
-nem nehez (csavarja ki, vegye le, szerelje ki...)
-van szoszedet
-nem "oxfodi szinten kell", nem angol anyanyelvueknek lesz

/gepelve kell(word6 vagy 7)

A dolog surgos, meg januarban lenne.
Ha erdekel irj egy szamot es visszahivlak.
Sab
+ - Szauna (mind) VÁLASZ  Feladó: (cikkei)

Hello !

Tud valaki Budapesten szaunaval foglalkozo boltot ? Engem elsosorban a
kiegeszitok (ora, homero, stb...) erdekelnek.

Elore is kosz.

Udv:Paska Tibor

+ - konyv (mind) VÁLASZ  Feladó: (cikkei)

Sziasztok!

Ismeri valaki kozuletek Gardonyi Geza torteneteit, Gore Gaborrol
es Durbincs sogorrol? Szeretnem tudni hogy milyen cimen jelentek
meg ezek a kiadvanyok, es megvasarolhatok-e valahol?
Ezenkivul ha valaki tud magyar nyelvu online konyvesboltrol,
kerem hogy irja meg a cimet. 

koszi es udv
PeteR
+ - Randevu? (mind) VÁLASZ  Feladó: (cikkei)

Sziasztok!

Keresnek egy olyan helyet, ahol ket ember, szolid korulmenyek kozott, szolid ar
ert kettesben eltolthet par orat, rendszeresen.
Ha tudtok ilyesmit, irjatok!

Styxx
+ - Penztargep (mind) VÁLASZ  Feladó: (cikkei)

Sziasztok !

Ert valaki kozuletek a Spacio Uno penztargep
beallitasahoz ?

Nem csalni akarok, csak pl az orat pontositani.

Elore is koszonom !

Udv. Tibi
A vilagon csak 3 fele dologgal erdemes foglalkozni:
ami jolesik, ami hasznot hoz, vagy amivel segitesz valakin.
+ - Alattomos Tolvaj Masina (mind) VÁLASZ  Feladó: (cikkei)

Szervusztok!

Otvenezer forint penzkivet alkalmabol kidugott nekem 8 db 5000 Ft-ost, 
meg ot ezrest. A bizonylaton persze levonta a megnevezett osszeget. 
Azert ha ennyit szeretnek egyszuszra vesziteni, talan felkaru rabloval 
probalkoznek. De nem szeretnek! Meg jo, hogy rogton irasban reklamaltam 
a bankfiokban, ahogy a pultos holgy javasolta. Miben remenykedhetek, nem
tudom, hiszen mivel bizonyithatnam a johiszemusegemet? A kezemben volt 
45e Ft a fenti cimletekben, a bizonylat, a kartya. A penztarcamban meg 
raadasul meg egy otezres. De egyebkent is motoztassa meg magat az ember? 
(Meg ha nem a biztonsagi or lenne a motozo, hanem valamelyik csinos 
ugyintezo leany, esetleg... ;D) Vagy mi lehet a kovetendo eljaras? 
Igy remenykedve varom a valaszt a panaszomra. A bankot nem nevezem meg, 
mert biztosan barhol elofordulhat ilyen eset. A reklamacio tovabbi 
intezese inkabb jellemzi majd a bankot. Ha erdekel valakit, referalok a 
fejlemenyekrol. Eddigi tapasztalatom szerint korrektek voltak. 

A tanulsagot vonja le maganak mindenki... 

Fo az egeszseges bizalmatlansag a gepekkel szemben. (Csak?)
Megertem a lyoni takacsokat... 

Na, ez azert tulzas! De tanacsos a penzt megszamolni, meg ha gep is adja! 
Ha egy mozdulattal zsebreteszem, nyilvan semmi eselyem nem lenne 
visszaszerezni. Vajon igy van? 

Sziasztok:

Bata Gábor
mailto:, 
(ékezet is érkezhet)
+ - Re: Eszrevetel (mind) VÁLASZ  Feladó: (cikkei)

Hali!

> Felado :  [Hungary]
> Idopont: Mon Jan 11 15:18:21 EST 1999 TIPP #3078
>
> Kedves TIPP-elok !
>
> Annak idejen mikor feliratkoztam a listara azt olvastam rola, hogy itt
> tippeket, otleteket lehet adni es ezekrol olvasni. Azonban ugy latom ezek az

> Bocs ha valakinek a lelkivilagaba gazoltam. En foleg olvasom a listat es a
> TIPP-en TIPP-eket szeretnek olvasni. Mint az legtobbszor elofordul. Az

Legyen meg az akaratod.
Ime egy TIPP:
Allitsd be a levelezo programodat. Nehanyunkkal ki(ba***)toltal az attacsoddal.

Udv: Istvan

+ - screensaver (mind) VÁLASZ  Feladó: (cikkei)

http://www.screensavershots.com/alphind.htm

Tamas
+ - Re: Szerzoi jog (mind) VÁLASZ  Feladó: (cikkei)

www.extra.hu/pusi

Bye, Pooshi from Mohacs

(webes bekuldes, a bekuldo gepe: line-196-26.dial.matav.net)
+ - olvasd el (mind) VÁLASZ  Feladó: (cikkei)

Zsuzsa irta:

>Biztos az en hibam, hogy el szoktam olvasni az apro betus reszeket is (egyik
>kedvenc tortenelemtanarom tette  fel a "mento" kerdeseket mindig az  apro
>betus reszekbol, amelyek persze senkit nem mentettek meg),


 Ha elfogadtok egy Tippet! Mindig minden sort olvassatok el ketszer.
 Sok olyan szerzodest, irat stb. volt a kezemben az elmult idoben ami
 ugy "elso ranezesre" nagyon szep es elonyos volt, de ha kicsit az
 aprobetus bekezdeseket nezegetve elment toluk a kedvem.
 Kicsit maskepp fogalmazva: aki nem olvassa el amit alair, megerdemli,
 hogy atverjek!
 A "nagy" cegek szerzodeseit jogaszok irtak, nem valoszinu, hogy pont a
 Te erdekeidet, inkabb a sajat megbizojuk erdekeit nezik. Lsd. egyes
 softverek EULA-ja, ha nem ugy csinalsz, hogy nekem jo legyen, akkor
 bortonbe jutsz, vagy legalabbis a torveny teljes szigoraval lesujt rad,
 de sem a kozvetlen sem a kozvetett karokert feleloseget nem valalunk.



Best regards,
 Laci                          mailto:
+ - matav utoljara (mind) VÁLASZ  Feladó: (cikkei)

Hali!

>A MATAV internetes akcioja most mire, es kire
>vonatkozik?
A matav akcioja minden, a halozaton folytatott helyinek minosulo hivasra
vonatkozik, attol fugetlenul, hogy a szam masik vegen egy modem, vagy a
nagyanyam veszi fel a kagylot.
>
>Most arrol akartak meggyozni, hogy minden mas szolgaltatohoz
>kapcsolodo elofizeto is elvezi ezt a kedvezmenyt,
>es nemcsak az internetes kapcsolatban, hanem a telefonszamlaban
>is a 120 + AFA ervenyes.
Igy igaz, nem kell megijedni.
>
>Pl. a DataNet-es is ugyanannyiert net-ezik? Nem mintha sajnalnam
>Toluk, csak mert nem ertem.
>
Nem ertem, mit nem ertesz. A Datanet elofizetoi szinten a matav halozatat
hasznaljak...akkor nekik miert lenne dragabb/olcsobb???????
Udv:
Varga Attila

UIN:22914917
IRC: #debrecen (virganch)
+ - tejallergia (mind) VÁLASZ  Feladó: (cikkei)

Sziasztok!

Egyik baratnom neveben szeretnem a segitsegeteket kerni. A kislanya
tejfeherje allergias.
Felirtak neki szoja alapu tapszert, de azt nem hajlando megenni. Kulfoldon
alitolag mar kaphato hipoallergikus tej az ilyen esetekre. Kerdesem az
lenne, hogy tudtok-e ilyesmirol nalunk is????
Surgos lenne, varom tippjeiteket akar maganba is.



Fruzsi
+ - Erdotelek info (mind) VÁLASZ  Feladó: (cikkei)

Sziasztok!

Erdekelne minnel tobb info Erdo"telek nevu helyrol es a Pinte'r
tanyarol.
Furdesi, szorakozasi lehetoseg, stb.

Lehet, hogy oda megyek nyaralni.

Legyszi a valaszt maganba is kuldjetek el, kosz!


--
  Bye: Peter
mailto:
http://www.extra.hu/vamosip
ICQ: 26916668
Powered by OS/2 Warp 4.0
+ - re:veszelyeshulladek-egeto (mind) VÁLASZ  Feladó: (cikkei)

Hja kerem:))
"A falu lakosaga tiltakozik az (altaluk megvalasztott) 11 kepviselo 
nagyresze tamogatja".
1. vagy nem jol valasztott a falu
2. vagy jol valasztott, akkor meg a kepviseloknek van igazuk.
Bela
+ - AJANDEK (mind) VÁLASZ  Feladó: (cikkei)

Budapest VIII.keruletebol ingyenesen elviheto egy fatokes, 
korpancelos, 2 m. hosszu (plussz billentyuzet), a legszelesebb reszen 
1.4 m szeles  ZONGORA. Valoszinuleg Schiff Andras nem jatszana rajta, 
de szol. Kerem a Tisztelt levelezoket, ha nekik nem kell, 
akkor terjesszek ismeroseik kozott.

Udvozlettel:

Simon Laszlo
mh.: 328-8293
+ - Matav (mind) VÁLASZ  Feladó: (cikkei)

> >Ezzel szemben a Matav altal bevezetett kapcsolasi dij kozeliti a
> >korabbi impulzusdijat, es egy masodpercnyi beszelgetesi ido
> >sem jar erte...
> 
> Ez nem igaz, finoman szolva. Jelenleg az impulzusdij Mo.-on 15 Ft
> A MATAV kapcsolasi dija 8.30 Ft. Azaz alig tobb, mint fele.

"Semmiert" meg ez is sok...

> Ha a tavalyi 13 Ft-os impulzusdijjal hasonlitod ossze, akkor is
> csak 63 %. De itt nem hiszem, hogy a tavalyi impulzusdijjal kene
> hasonlitgatni, hanem celszerubb az ideivel, amit a magyar
> telefontarsasagok hasznalnak, ugyanis a modszert vitatod, s nem
> az osszeget, ha jol eretttem.

Nem ertetted jol, en a modszert vitatom, amivel az uj rendszert probalja a
Matav eladni.

> Mert nalunk nem kell kifizetni mar az indulaskor a 29 Ft-ot, pl. 30
> masodperc koruli  hivasok eseten.
> A France Telecom-nal bizony-bizony ki kell fizetni ezt a penzt.
> Kivancsi lennek, elcserelned-e a francia rendszert a magyarra.

Meg fogsz lepodni, de el, megpedig ugy egeszeben. Amint mar tobben irtak,
az abszolut arak osszehasonlitgatasa nem realis, pedig mar a FT ebben is
olcsobb, ugyanis hol beszelsz itthon harom percet 29 Ft-ert csucsidoben???
Az, hogy ez ott egyben alapdij is, kinti viszonyok kozott egyaltalan nem
nevezheto draganak (4-7 dkg kenyer ara). 

> Ha olvastad az eddigi leveleimet, az is nyilvanvalo lehet, hogy a
> kapcsolasi dij onmagaban nem dragitotta meg a hivasokat.

Nem, csak jol atkavarta az egeszet. Aki nem az atlagokkal es a gyakori
hivashosszakkal valo buveszkedest nezi, hanem azt, hogy iden mennyit
telefonalhat 15 Ft-ert csucsidoben, az igencsak erdekes szamot kap a
tavalyi 13 Ft-hoz tartozo idohoz kepest. Ezek utan nem biztos, hogy
boldogga teszi az, hogy 8.30-ert bonyolithat 1 masodperces hivasokat is... 

> 15-20 % koruli emelest jelentett be a MATAV a helyi hivasokra, a
> tav es kulfoldi dijak pedig csokkentek. Ebben a 15-20 %-ban -
> termeszetesen- benne van a kapcsolasi dij is.
> A helyi dijak eseten is pl. a legsurubben elofordulo -1 percnel
> rovidebb- hivasok ara CSOKKENT, barmilyen hihetetlen.

Ez tenyleg hihetetlen, ugyanis 50 masodperc tavaly 13 Ft-volt, iden 8.25 +
8.35 = 16.60, valoban latvanyos csokkenes!!! Persze ki tudja, a Matavnal
talan egy perc nem tobb, mint 50 masodperc?

> A France Telecom-nal ki kell fizetni ezt az impulzusdijszeru
> alapdijat, sot mint irtam, -s amit tovabbra sem vagy hajlando
> elolvasni- a 0.74 FF ott is tartalmaz kapcsolasi dijat, tehat tenyleg
> van egy arukapcsolas, ezt tagadni botorsag lenne.

Es ha eppen tehenfejesi dijnak hivnak, az min valtoztatna? A kerdes az,
hogy mi valtozott a korabbi rendszerben, es ezt hogy etetik meg a neppel.
+ - matav akcio (mind) VÁLASZ  Feladó: (cikkei)

Sziasztok!

>A MATAV internetes akcioja most mire, es kire
>vonatkozik?

Szerintem ez nem Matavnet, hanem Matav akcio.
Nem nezik kulon, hogy milyen forgalom megy a vonalon,
hanem csupan a helyi hivasu kapcsolatoknal szamit.
Tehat lehet beszelgetni vagy internetezni, vagy akar
100 oldalt faxolni is. A lenyeg, hogy helyi hivas legyen.

Udv: Gergo
+ - Re: : Re: BMT (Internet ido) (mind) VÁLASZ  Feladó: (cikkei)

 irja: 

>>A nap a bieli ejfellel kezdodik es a _Biel Mean Time_

>Ezt meg plane nem kene ujrakezdeni... oruljunk, hogy egyszer sikerult
>mindenkivel elfogadtatni Greenwich-et. (kulonben is, mi ez a Biel?
>Feltetelezem ott van a swatch kozpontja...)

Valoban Biel egy varos, a svajci oragyartas (egyik) es a Swatch kozpontja 
a Beili-to mellett Svajcban. Tehat a BMT a kozep-europai idonek felel meg. 


Hayeknek volt mar gyengebb otlete is amit valora valtott, peldaul a Smart,
amit a Mercedes mostanaban kezdett kiszallitani... (ketszemelyes varosba
valo auto) :-(

Andras
+ - Playstation (mind) VÁLASZ  Feladó: (cikkei)

Hello Mindenki!

Hallottam valahol Playstation-be epitheto MOD chip-rol. Tud errol valaki
bovebbet?
Kerlek, maganba irjatok:
mailto:

Koszi!
+ - IntelliMouse driver (mind) VÁLASZ  Feladó: (cikkei)

Hi mindenki!

Szeretnem hasznalni az Microsoft IntelliMouse gorgetokereket Windows 95
alatt, de nincs hozza driverem. Amit a neten eddig neztem, az nem rendes

driver volt, vagy tul lassu volt, stb..
Tud nekem kuldeni valaki egy drivert, vagy egy cimet, ahol le lehet
tolteni? Drivert direktbe csak egyeztetes utan kuldjetek!

Koszi

Gubi
+ - re 200 Ft (mind) VÁLASZ  Feladó: (cikkei)

hali,

>Hello,
>
>ha tudsz valakit aki gyujt 92-es vagy 93-as MNB-os fem 200-ast keress!
>Baratnomnek van vagy 10 db.

>
>Geza

egy honapja valtottam vissza 176 darabot, a kutyanak sem kellett :-(

bes
+ - Referencia MBA kepzesrol (mind) VÁLASZ  Feladó: (cikkei)

Sziasztok!

Referencia kellene a New York State University at Buffalo
egyetemen akkreditalt MBA kepzes elismertsegerol.
Mennyire komoly, hogy rangsoroljak, stb. ?
Elsosorban olyanok valaszat varom, akik ott tanultak, vagy
jelenleg tanulnak, illetve tanitottak, vagy tanitanak,
es persze magan e-mail-ben, mert masokat aligha erdekel.
Nehanyan biztosan tudtok segiteni, mert par eve tobben irtatok
arrol a tajrol, hogy hol szalljunk meg a Niagaranal.

Elore is koszonom.

                                Vitez Andras
                             mar Magyarorszagrol
+ - konyvkiadas (mind) VÁLASZ  Feladó: (cikkei)

Hurra!

Tenyleg kiadni keszultok Vonyi ketsorosait Veszpremben?

Olvassatok el :  "Interju Bart Istvannal", hosszu, de szorakoztato!
(reklam helye: o" is az Istvanban erettsegizett!):
http://www.hungary.com/buksz/9_2/p177.html
Sulyok Tamas
Los Angeles
+ - katonasag + diploma (mind) VÁLASZ  Feladó: (cikkei)

Udv a listatagoknak !

Netuddki :) erdeklodott, hogy mi varhat egy diplomasra, ha be kell vonulnia
nemzetunk szolgalatara.
Miutan meg eleg frissek bennem az elmenyek leirnek par sort a temaval
kapcsolatban.

Magamrol annyit, hogy 96-ban vegeztem, mint kozgazdasz, majd masfel ev melo
utan vonultam be 1998 februarjaban igy oktoberben mar le is szereltem.
Egyetem utan nekem sem volt sokk kedvem katonanak menni, de az azert eszembe
sem jutott, hogy orvosi igazolasokkal keruljem el.
Szoval az egesz a sorozo bizottsagnal kezdodik, ahol majd kapsz egy papirt
amin fel lesz tuntetve, hogy minek kepeznek ki, hol es kikepzes utan hova
leszel vezenyelve. Ezekbol az elso total lenyegetelen, a masodik azaz, hogy
hol kapod a kikepzest viszont nem. Az orszagban ket kikepzo kozpont van
mindketto ket helyorsegbol all, ketto a Dunantulon (fogalmam sincs hogy hol)
ketto az Alfoldon (Kalocsa es Szabadszallas). Az hogy melyikbe kerulsz
szerintem az hatarozza meg, hogy hol laksz, de voltak kivetelek. En
Szabadszallasra kerultem, de par haverom megfordult Kalocsan is es ahogy
eszrevettek ott sokkal lazabb volt a legkor.
 A kikepzes elvileg 3 honapig tart gyakorlatilag ettol hetekkel is lehet
rovidebb, katonanak csak a kikepzes befejezesetol szamitasz. A bevonulas
napjan kapod meg a cuccodat (vigyaz rajuk mint a ket szemedre, mert nehez
oket ujra osszelopkodni),kapsz negy injekciot es egy  orvosi vizsgalatot
valamint meg aznap este leteszed az eskut. Fontos tudni, hogy a polgari
szolgalatot csak akkor valszthatod, ha meg nem tetted le az eskut, utana mar
nem. Egyebkent en nagyon nem ajanlom, hogy a polgari szolgalatot valaszd.
Miutan egyreszt hosszabb masreszt kimaradnal egy jo kis mokabol. Az elso
amit rogton eszre fogsz venni, hogy mindenki ordit vagy veled vagy a
levegobe es mindenhez engedelyt kell kerni (meg lehet szokni). Nagyon
fontos, hogy ha mondanak valamit akkor azt csuklas nelkul csinald meg , ne
kerdez vissza es ne keresd az ertelmet sosem volt neki, ezzel jo pontot
szerzel es a kesobbiekben beken hagynak. MIndig akad par hulye, aki csak
azert sem akar valamit elso szora megcsinalni ezzel hetekre elnyerve pl. a
wc pucolas fenseges munkalatait. Az egesz egyebkent csak addig rossz amig
nem tudod, hogy mik a jatekszabalyok ez kb. olyan 3 hetig tart, utana mar
semmi kulonos nincs az egeszben. Ha valakivel kiszurnak, akkor az meg is
erdemelte valamivel (a diploma nem kello ok :)), ugy hogy ettol nem kell
tartonod. Egyebkent diplomasan jo eselyed van ra, hogy irnokot csinalnak
beloled vagy kikepzo rajparancsnokot. Ha irnok leszel nyert ugyed van,
miutan igy minden heten otthon vagy, ha nem, akkor mas sem. Ha a sorozasi
papirodon a kikepzesi es a vezenylesi hely azonos, akkor jo eselyed van,
hogy kikepzo (en is az voltam) leszel, azaz harom ill. hat honappal kesobb
te ordibalsz szerencstlen frissen vonult kopaszokkal mar persze ha az a
stilus vagy, en nem voltam.
Most befejezem mert mar igy is sokat irtam , de ha valakit tovabbi infok
erdekelnek, akkor az nyugottan kerdezhet maganban is.


nlupy
+ - videoszerviz (mind) VÁLASZ  Feladó: (cikkei)

Hello,

keresek megbizhato videoszervizt (Samsung video)... akinek volt pozitiv
tapasztalata barmelyikkel, kerem adja meg a szerviz parametereit.

koszonettel, Attila
+ - modellhajo (mind) VÁLASZ  Feladó: (cikkei)

Megvetelre keresek 10ccm Moki S9-es motorhoz valo hajotestet.Motor
     szervok es radio nelkul.Minden tipus erdekel.Valaszokat maganemilbe is
     kuldjetek hivjatok!Telefon:napkozben 96.506.477 ,este96.434.352.
+ - Y 2 K (mind) VÁLASZ  Feladó: (cikkei)

TIPPELOK!
Ha nem akarod megvarni a 2000 evet,de biztos akarsz lenni,hogy a pc-d at
fogja
valtani az uj idot,sajat magad is le tudod ellenorizni a kov. modon:

Egyszeruen sajat magad atallitod a pc-et 23.59, Dec.31 1999 keltezesre.
Elzarod a pc-t.....varsz nehany percet.
Ismet beinditod....akkor lathatod,hogy atvaltott-e a pc-d.
Ha nem,. . .ne aggodjal sajat magad atallitod a Jan.1.2000-re.
Elzarod ismet a pc-t, majd ismet beinditod.
Ekkor lathatod,hogy tartsa-e a pc az uj keltezest!

Ha a pc-d nem oregebb hat evnel,akkor nem kell aggodnod,automatikusan at
fog valtani.
Ha gondolod,hogy tovabbi infora lenne szukseged,keressed fel:
www.y2k2000.org
Itt tovabbi ezer link-et fogsz talalni Y2K-re!

Horvath Lajos, Toronto.Ca.
+ - [HIRDETES] E: 300 dpi lezernyomtato (mind) VÁLASZ  Feladó: (cikkei)

Mannesmann-Tally 906-os lezernyomtato elado, ket ev ingyenes javitassal.

Tudasa: 300 dpi, 6 ppm, 2.5 M mem., HP LaserJet II, IBM Proprinter XL,
Epson FX emulacio, parhuzamos, soros es meg egy adatcsatlakozo.

Nagy elonye az olcso toner.

Iranyar: 35 kFt, szamla megoldhato (huszadikaig akar tavalyrol is...), a
budapesti hazhozszallitas is (massziv darab.)

  Ed

 Szemelyes valaszt a fent jelzett cimre kuldhetsz, ha kijavitod.
+ - [HIRDETES] e: memoriak (mind) VÁLASZ  Feladó: (cikkei)

Hello!

Eladoak a kovetkezo memoriak:
2 x 8 MB EDO  2000 Ft / db 
4 x 4 MB nem EDO 1000 Ft / db
Ha valaki egyutt megveszi, 7000-ert az ove!
Erdeklodes telefonon (lasd lejjebb, vagy emailen!)

------------------------BYE:--------------------------
mailto:, tel:(30)9480314, icq: 10257749
BME           http://www.inf.bme.hu/~paha         INFO
------------------------PAHA--------------------------
+ - [HIRDETES] Spectrum+ 128k hol vagy? (mind) VÁLASZ  Feladó: (cikkei)

udv!
Telefonalt nekem valaki (Gabor) jan. 9-en 16 ora korul, hogy tudna nekem egy
spectrum+ sinclair 128k szamitogepet. Ez egy fekete kemenybillentyus gep jobb
oldalt egy hutobordaval. Nos ugy igerte, hogy kesobb hiv. En azota varom de
semmi hir. Telefonszamot nem adott stb. Ha olvasod Gabor akkor mindenkeppen
hivj vagy irj! Illetve ha barki tud egy ilyen gepet nem baj ha hibas akkor
jelentkezzen. T:3-210-063   Este 9-kor biztos otthon vagyok.
			Sziasztok: Istvan
+ - [HIRDETES] elado cd,alaplap (mind) VÁLASZ  Feladó: (cikkei)

Sziasztok!
 
Eladnam a kovetkezoket:
 
1db   24x CYBER IDE CDROM hibatlan      8500HUF
1db   ZIDA 5STX-es Intel 430TX-es PENTIUM alaplap 512k cache  10500HUF
 
Erdeklodni: 
+ - [HIRDETES] Elado videoteka (mind) VÁLASZ  Feladó: (cikkei)

Pecsett, bejaratott helyen, 
Kb 2500 filmmel, berendezessel,
biztos vevokorrel,
a helyseg foberleti jogaval,
a vallalkozas egyeb againak hatalmas adossagai miatt,
egyben (6MF) vagy kulon (megegyezes szerint)
VIDEOTEKA ELADO!
 
Erdeklodni: 20/985-50-70
+ - [HIRDETES] Elado kamera es radios mikrofon (mind) VÁLASZ  Feladó: (cikkei)

Elado:
- Sony Handycam CCD-F350E kamera
Video 8-as rendszeru, 6x motoros zoom, macro funkcio (nehany centimeterrol
is eles kep), SP es LP uzemmod
Ara: 40.000.-

- Soundlab markaju professzionalis radios mikrofon
Diversity-s (azaz ket vevoje van, es mindig azt hasznalja, amelyiken jobb a
vetel)
Ara: 40.000.-

Erdeklodni lehet: 06 20 92 92 338
+ - [HIRDETES] Miro PCTV Pro (mind) VÁLASZ  Feladó: (cikkei)

MiroPCTV Pro-hoz keresnek CD-t (amit a dobozban adnak hozza),
valamint barmilyen videoszerkeszto programot.
Ugyanehhez belso audio kabel is erdekelne.

	Koszonettel:
			Mile Istvan
+ - [HIRDETES] genealogique fa (mind) VÁLASZ  Feladó: (cikkei)

Szia,hello,bonjour
nehezen tudak Magyarul irni (bocs kereck)
Belgiumba szuletem es 1 ev kesztem magyarul irni.
Keresek , JUHAS & HORVAT név aki 1900 eleyn el ment ki USA & masunt in the
World.
Koszonettel,
Georges Szucs Szabo
+ - [HIRDETES] modemet veszek (mind) VÁLASZ  Feladó: (cikkei)

Surgosen keresek 33-as belso vagy 28-as kulso modemet. 

Penzert, vagy egy 56-os garancialis PCI voice Rockwell chipesert cserebe
ertekegyeztetessel. (Ezzel TE jarsz jol) Egy gyengebb gepbe lesz, ami nem
tudja lekezelni a PCI-t.

Kerlek, hivj vagy uzenj: 06-30 992 13 13 vagy a subjectbe ird a szamodat es
hogy modem! Koszi!
+ - [HIRDETES] Beyer dynamic fulhallgato (mind) VÁLASZ  Feladó: (cikkei)

Kedves HANG-osok es a tobbiek,
van egy elado Beyer Dynamics DT 531 tipusu fejhallgatom elado. Erdeklodni
lehet a (23) 504 875-ös telefonon. Udvozlettel Kertesz Zoltán
+ - [HIRDETES] 2x80 W -os receiver (mind) VÁLASZ  Feladó: (cikkei)

Elado 2x80 W-os Kenwood receiver/radi-erosito/
Iranyar : 14000 Ft.


				Big.
+ - [HIRDETES] Elado: pentium alaplap,proc,memoria,HDD,CD (mind) VÁLASZ  Feladó: (cikkei)

Udv, mindenki!

Feleselgesse valt nehany alkatresz:
  IBM 6x86 M2 (MMX) pr266MHz processzor.............15kHUF  
  32MB SDRAM (nem PC100-as!).........................8kHUF
  Sector alaplap VIA P5MVP3, 100MHz, AGP ...........13kHUF
  Creative CD, IDE 8x-os.............................8kHUF
  Quantum 2.1GB HDD, bad sector mentes IDE-s........20kHUF
(A proc. es a memoria meg 7 honapig garancialis.)

Kosz, viszlat!                             - Jano Mano manu propia
+ - [HIRDETES] Kiado lakast keresek (mind) VÁLASZ  Feladó: (cikkei)

Sziasztok TIPPelok!

     Butorozatlan kiado lakast/hazat keresek Sarbogardon vagy kornyeken,
     esetleg Szekesfehervaron.
     Valaszokat maganba kerem vagy a 06-30/9690-297-es tel.szamra.

     Udv.
     Bodnar Zoltan
+ - [HIRDETES] Nagykpernyos szines TV (mind) VÁLASZ  Feladó: (cikkei)

Egykori Videoton Jumbo szines TV elado.
Fobb parameterek:
- 69 cm kepatmero,
- uj taviranyito,
- SCART video bemenet,
- stb.

Javitott, iranyar 35e Ft.

Udv: patula


+ - [HIRDETES] English by Stealth vetel (mind) VÁLASZ  Feladó: (cikkei)

Ha megtanultal angolul a Lopakodva Angolul CD kiadvany alapjan,
vagy meguntad kuldj levelet ar ajanlatoddal.

Üdv:
   G. Péter.
+ - [HIRDETES] Elado pehelypaplan es parna (mind) VÁLASZ  Feladó: (cikkei)

Elado:
 2 db vadi uj 140x195cm-es pehelypaplan ~23eFt
 2 db szinten uj nagyparna ~4eFt

Erdeklodni: 2-403-326
+ - [HIRDETES] 486-os gep (mind) VÁLASZ  Feladó: (cikkei)

Sziasztok  Megvalok gepemtol...
   486 PCI-os alaplap (EDO memoriaval is megy) 16 MB RAM
   80 -as AMD Proci+ Venti
   210 MB Conner wincsi bad szektor mentes 
   Trident 1MB videokartya
   32X SONY CD-ROM
   1.44 Floppy
   14' SVGA mono monitor
   ESS 16bites sztereo hangkartya
A hangkartya, cd, kismeghajto, memoria meg garancialis.
Az egesz eggyutt tokeletesen mukodik.Arajanlatot kerek a kov e-mailk cimre:
		
Iranyar: 55e.
+ - [HIRDETES] Fiat Uno 1.4TD elado (mind) VÁLASZ  Feladó: (cikkei)

1988-as evjarat,2000 oktoberig muszakis,kozponti zar,riaszto,jo
     karosszeria.Iranyar:495 eFt.Telefon:20.9439.016.
+ - [HIRDETES] Opel Ascona-t keresek! (mind) VÁLASZ  Feladó: (cikkei)

1986-88 evjaratu Opel Ascona 1.8i vagy 2.0i esetleg 1.6s autot keresek
     megvetelre rendszam nelkul,de lehetoleg elvamolva... Jo lenne 5 ajtos
     es GLS vagy CD kivitel.Forgalmival,rendszammal nem erdekel.Maganemilbe
     is valaszoljatok legyszives vagy hivjatok 8-17 oraig 96.506.477, utana
     96.434.352.

AGYKONTROLL ALLAT AUTO AZSIA BUDAPEST CODER DOSZ FELVIDEK FILM FILOZOFIA FORUM GURU HANG HIPHOP HIRDETES HIRMONDO HIXDVD HUDOM HUNGARY JATEK KEP KONYHA KONYV KORNYESZ KUKKER KULTURA LINUX MAGELLAN MAHAL MOBIL MOKA MOZAIK NARANCS NARANCS1 NY NYELV OTTHON OTTHONKA PARA RANDI REJTVENY SCM SPORT SZABAD SZALON TANC TIPP TUDOMANY UK UTAZAS UTLEVEL VITA WEBMESTER WINDOWS