Hollosi Information eXchange /HIX/
HIX TIPP 2674
Copyright (C) HIX
1997-11-24
Új cikk beküldése (a cikk tartalma az író felelőssége)
Megrendelés Lemondás
1 sikban polaros feny (mind)  21 sor     (cikkei)
2 Belyeggyujtok warning !!! (mind)  12 sor     (cikkei)
3 Arpad (mind)  13 sor     (cikkei)
4 polarizalt feny (mind)  23 sor     (cikkei)
5 zeneprogram a neten (mind)  17 sor     (cikkei)
6 lezer es polaros feny (mind)  26 sor     (cikkei)
7 Re: Idetlenseg... (mind)  4 sor     (cikkei)
8 Re: *** HIX TIPP *** #2673 (mind)  6 sor     (cikkei)
9 Utitippeket kerek: Delhi, Madras, Kanpur (mind)  14 sor     (cikkei)
10 HIX NYELV: egy igen szavazat (mind)  3 sor     (cikkei)
11 toronyugras , magantulajdon vedelme arammal (mind)  39 sor     (cikkei)
12 Fermat (mind)  10 sor     (cikkei)
13 Magyarorszag kis- es kozepvallalatai (mind)  18 sor     (cikkei)
14 epitkezes (mind)  22 sor     (cikkei)
15 Hackerek es mosomedvek (mind)  32 sor     (cikkei)
16 NYELVTAN (mind)  42 sor     (cikkei)
17 moso maci (mind)  4 sor     (cikkei)
18 Sieleshez utitarsakat keresek (mind)  10 sor     (cikkei)
19 Auto Rendszam (mind)  17 sor     (cikkei)
20 Re: vannak magyarok UK - ban ? (mind)  20 sor     (cikkei)
21 Re: Arpad vere (mind)  8 sor     (cikkei)
22 FORUM (mind)  9 sor     (cikkei)
23 betonkevero (mind)  8 sor     (cikkei)
24 Re: virus (mind)  19 sor     (cikkei)
25 Internet pszichologia (mind)  18 sor     (cikkei)
26 Video (mind)  3 sor     (cikkei)
27 [HIRDETES] Mjuzikcenter elado (mind)  5 sor     (cikkei)
28 Re: Arpad vere (mind)  25 sor     (cikkei)
29 [HIRDETES] (mind)  8 sor     (cikkei)
30 P166-os laptopot keresek (mind)  11 sor     (cikkei)
31 Re.Mu"zli (mind)  26 sor     (cikkei)
32 Magan nyugdij biztositasi onkormanyzat programa (mind)  14 sor     (cikkei)
33 kemeny egy dio (mind)  35 sor     (cikkei)
34 Re: bungee jumping (mind)  17 sor     (cikkei)
35 Re:szivrohamos autotolvaj+meg egy eset (mind)  14 sor     (cikkei)
36 Re: Magyar kartya (mind)  6 sor     (cikkei)
37 Allas (mind)  6 sor     (cikkei)
38 Magyar Midik (mind)  8 sor     (cikkei)
39 Zeneszek figyelem (mind)  11 sor     (cikkei)
40 Idegsebesz kerestetik ... (mind)  6 sor     (cikkei)
41 targyak magassaga (mind)  5 sor     (cikkei)
42 Re: Magyar kartya (mind)  7 sor     (cikkei)
43 >========= (mind)  37 sor     (cikkei)
44 Thanx for Lyrics (mind)  3 sor     (cikkei)
45 Re: mosomedve (mind)  28 sor     (cikkei)
46 Re: anyahajo (mind)  13 sor     (cikkei)
47 [HIRDETES] Elado SB16 + alaplap + RAM-ok (mind)  18 sor     (cikkei)
48 [HIRDETES] Telekocsit kinalok Nemeto.->Magyarorszag (mind)  3 sor     (cikkei)
49 [HIRDETES] ELADO: fenykepeszeti nagyitogep + szaritogep (mind)  15 sor     (cikkei)
50 [HIRDETES] ELADO: multimeter, monitor, tap, KERES: EGA (mind)  20 sor     (cikkei)
51 [HIRDETES] GH 198 tartozekok (mind)  11 sor     (cikkei)
52 [HIRDETES] Ujabb tozsdetanfolyam (mind)  21 sor     (cikkei)
53 [HIRDETES] Hibas NOKIA 1610 elado! (mind)  13 sor     (cikkei)
54 [HIRDETES] =?ISO-8859-2?Q?Sharp_Manager_Calculatur_elad (mind)  16 sor     (cikkei)
55 [HIRDETES] Fordhoz ajtokat keresek (mind)  10 sor     (cikkei)
56 [HIRDETES] lakas (mind)  8 sor     (cikkei)
57 [HIRDETES] Amszterdam-Bp telekocsi (mind)  8 sor     (cikkei)

+ - sikban polaros feny (mind) VÁLASZ  Feladó: (cikkei)

Sziasztok !

Az elobb epp' optikaztam a FizLab-ban, arra gondoltam, hogy, 
ugye, az LCD kijelzos dolgok (qvarcora, szamologep, stb) LCD 
kijelzoje ugy mukodik, hogy a beeso, sikban polaros feny polarizacios 
sikjat elforgatja, azaz a kijelzo elott van egy polarszuro, ami 
polarizalja a fenyt.. illetve, megszuri.
Tehat, ha pl. szamologepbol kivesszuk ezt az atlatszo lemezt, akkor 
absz. semmi sem latszik a kijelzojen, csak ha ezen a lemezen 
keresztul nezed, vagy eleteszed a lemezt.

Szoval, ha oyan tintat keszitunk, ami ugyanezt csinalja (t.i., hogy a 
polaros feny rezgesi sikjat elforgatja, de egyebkent szintelen), 
akkor ezzel barmit fel lehet irni egy papirra, es csak egy ilyen 
polarszuron keresztul lathato..

Van valakinek otlete erre ?

Udv; Petike

http://sultan.kma.bme.hu/~petike
+ - Belyeggyujtok warning !!! (mind) VÁLASZ  Feladó: (cikkei)

Sziasztok !
Aki belyeggyujto, es szivesen venne belyeget, meg albumokat irjon nekem !
En regen gyujtottem, de mar nem foglalkozom vele mostanaban.
Olcson tuladnek rajta.

                      ,,,,,,,
                      (o  o)
---------------oooO-(_)-Oooo------------------------------
Tag    : HoE!
MaiL  : 
Slang : Com' to ma centa, ya enta da winta !
> ------------------------------------------------------------------
+ - Arpad (mind) VÁLASZ  Feladó: (cikkei)

Hi!

Javitsatok ki ha nem jo, de Arpad nemzetsege, az Arpadhazi kiralyok apai 
es anyai agon is kihalt (vagyis semmifele! leszarmazottja nincs), a
Habsburg
haz ugy kerult tronra, hogy Matyas kiraly amikor a koronat visszakerte,
elfogadta,
hogy ha fiuorokos nelkul hal meg, oveke a tron, tehat a Habsburg haz nincs
ver-
szerinti rokonsaggal Arpaddal. Ez teny es valo. Azt hiszem. Javitsatok ki
ha nem.

Sziasztok, Cha
+ - polarizalt feny (mind) VÁLASZ  Feladó: (cikkei)

Sziasztok,


a TIPP #2671 -ben  [Japan] ezt irtad:

> Ha polaros (voros) fenyre van szukseg 9amit egyebkent az emlitett esetben is
> hasznalnak meglehet olcsobb megoldas venni egy lezerdiodas "mutogatopalcat"
> amit jobb helyeken a diavetitessel egybekotott eloadasokon az eloadok
> hasznalnak.
> 20-30 dollaros aron beszerezheto az intenziv (4 mW-os)  fenyforras es
> garantaltan polarizalt
> mert lezerfeny es allitola a leghatekonyabb hullamhosszon mukodik.

ugy hallottam, hogy a (TIPP olvasokozonsege altal foldbedongolt) Evolite
lampa alapotlete pont az ellenkezo volt: rajottek, hogy a lezer pl. sebgyogyito
hatasa arra vezetheto vissza, hogy polarizalt. Es ha "normalis" lampat veszel, 
akkor sokkal nagyobb teljesitmenyu polarizalt fenyt tudsz eloallitani, 
olcsobban. 

Ivan

---
		http://is.twi.tudelft.nl/~szivan/
+ - zeneprogram a neten (mind) VÁLASZ  Feladó: (cikkei)

Sziasztok,


 keresere:

ftp://ftp.midifarm.com/pub/midifiles/KARAOKE/

ezt ajanlom melegen, itt meg a kedvenc ABBA szamaimat is megtalaltam!
cool!

Ivan

> ------------------------------------------------------------------
		http://is.twi.tudelft.nl/~szivan/

Olyan hatarozatlannak erzem magam mostanaban... vagy megsem?
> ------------------------------------------------------------------
+ - lezer es polaros feny (mind) VÁLASZ  Feladó: (cikkei)

Hi!

> Ha polaros (voros) fenyre van szukseg 9amit egyebkent az emlitett esetben is
> hasznalnak meglehet olcsobb megoldas venni egy lezerdiodas "mutogatopalcat"
> amit jobb helyeken a diavetitessel egybekotott eloadasokon az eloadok
hasznalnak.
> 20-30 dollaros aron beszerezheto az intenziv (4 mW-os)  fenyforras es
garantaltan polarizalt
> mert lezerfeny es allitola a leghatekonyabb hullamhosszon mukodik.
NEM!
A lezerfeny monokromatikus, tehat csak egyfajta hullamhosszu elektromagneses hu
llam (feny) van benne, valamint koherens ("osszetarto").
De NEM POLARIZALT!
A polarizacio azt jelenti, hogy a el.magn hullam rezgesenek "sikja" a forrasbol
 jovo hullamok eseten ugyanaz. Egy normal lezerforrasnal ez nem igy van.

Polarizatorfolia pl. a szelvedore, szemuvegre is jo, mivel fuggoleges polarizac
io eseten nem vakit a pocsolya, a ho, mivel ezek vizszintesen polarizaljak a na
pfenyt. (Persze nem tokeletesen...) Tehat ha polarizalt fenyt akarsz, akkor sim
a vizfelulet melle fekudj, onnan a megfelelo feny fog visszaverodni.
Es mivel a napfeny mindenfele hullamhosszu osszetevot tartalmaz, biztos meg les
z benne az, ami szukseges. (Igaz, az most jutott csak eszembe, hogy nem biztos,
 hogy az osszes osszetevo visszaverodik...)

Udv:
Tamas
+ - Re: Idetlenseg... (mind) VÁLASZ  Feladó: (cikkei)

Szerintem ide'tlense'g = ido"tlense'g. Regies koraszulott, nem idore
szuletett, fejlodesben visszamaradt...
Ennyi.
Pete
+ - Re: *** HIX TIPP *** #2673 (mind) VÁLASZ  Feladó: (cikkei)

>  Mondjatok, ne interpellaljunk Jozsinal egy HIX NYELV lista ugyeben?

Jo lenne...


http://www.biosys.net/potrebitel
+ - Utitippeket kerek: Delhi, Madras, Kanpur (mind) VÁLASZ  Feladó: (cikkei)

Tisztelt TIPP!

Karacsony elott ket hetet Indiaban toltok egy szakmai
ut kereteben. Erdekelne, hogy Delhiben, Madrasban es
Kanpurabn illetve a kornyekukon mit - illetve mi
nem - erdemes megnezni. Egyebb tippek is erdekelnek
(egeszsgugyiekkel mar el vagyok latva, beoltattam
magam stb.). Inkabb maganlevelben irjatok, majd
hazatertem utan osszegzem es a sajat elmenybeszamolom-
mal egyutt bekuldom.

Erdekelnenek a temahoz kapcsolodo web-helyek is.

Kosz    Feri
+ - HIX NYELV: egy igen szavazat (mind) VÁLASZ  Feladó: (cikkei)

>  Mondjatok, ne interpellaljunk Jozsinal egy HIX NYELV lista ugyeben?

De igen!
+ - toronyugras , magantulajdon vedelme arammal (mind) VÁLASZ  Feladó: (cikkei)

Sziasztok,

ha a torony akkor szakad le, amikor az ugro az also ponton van, akkor 
a torony tomegetol fuggoen tobb hatas is letezik. A lenges vagy 
rezgestanbol jol ismert, hogy az ugro sebessege ekkor 0, de itt van a 
legnagyobb gyorsulasa. Nos az ugro tomeget F erovel gyorsitana a 
kotel normal esetben. Ha ekkor a rogzito kampo torik el, akkor a 
kotel a legkisebb erovel huzza vissza a mukit, de ekkor is hat az 
illetore ero, meghozza a kotel tomege es gyorsulasanak szorzataval 
lehet kiszamolni. Minel nagyobb darabbal egyutt valik le a kampo a 
toronybol, annal nagyobb erovel rantja vissza a palit az also 
pontjarol. Termeszetesen a pali is rantja le, vagyis gyorsitja a 
levalt tomeget. A visszaugrasi magassag a pali es a kemeny levalo 
tomegevel aranyos lesz. Amennyiben nem valik le (ez a vegtelen nagy 
levalas esete), akkor ugrik vissza maximalisan, ha a levalo tomeg 
csokken, egyre kisebbel szamolsz, akkor a pali egyre alacsonyabb 
magassagra jut vissza.
Modellezni meglehet probalni ket kulonbozo sulyu jatekautoval, amit 
gumival kapcsolsz ossze es szethuzasuk utan elengeded egyszerre mind 
a kettot. Vizszintes talajon persze a gravitaciot kihagyod belole es 
plusz surlodast viszel a rendszerbe. Probaljad ki dontheto lapon 
egyre meredekebben es a feljebb levo kocsit egyre sulyasabba teve. 
Persze az idealis a levegoben lenne, de ehhez kevesbe torekeny modelt 
valassz.

Valamelyik rendorsegi musorban volt arrammal vedett kertekrol. 
Egyikben a tulajt elmarasztaltak, mert nem helyezte el a 
figyelmezteto tablakat minden oldalra. Masik esetben a tulaj a 
raktarepulet falaira pirossal nagy betukkel kiirta, a keritesen is 
figyelmezteto tablak voltak es meg tobb egyeb tabla is. Ebben az 
esetben mar nem marasztaltak el a tulajt. Persze masik feltetel, hogy 
az egyeb erintesvedelmi szabvanyoknak is megfeleljen, veletlenul ne 
lehessen hozzanyulni. Ha jol emlekszem, akkor a teto eresze es a fal 
teteje koze szerelte fel, ahova normal korulmenyek kozott csak 
illetektelen illeto maszna be.

Tovabbiakban is varom a kivasut szerelmeseinek valaszat PIKO ugyben!
udv
tonio
+ - Fermat (mind) VÁLASZ  Feladó: (cikkei)

> Felado :  [Hungary]
>  Ui: Tudtommal a Fermat-sejtesre idaig csak
>  Andrew Wiles, egy angol matematikus adott
>  erdemleges bizonyitast 1993. juniusaban,
>  korulbelul 1000 oldalban.

Amit kesobb Faltings egyszerusitett, es 'algebrizalt' :-), amennyire en tudom.
Talan az emeszthetobb.
Udv.	
	Alx
+ - Magyarorszag kis- es kozepvallalatai (mind) VÁLASZ  Feladó: (cikkei)

Hello Mindenkinek,

allitolag megjelent egy CD-ROM "Magyarorszag kis- es kozepvallalatai 1997." cim
mel. Nincs meg veletlenul valakinek...? Orok halam uldozne ot a sirig....

Koszi mindent,

tamas
> --------------------------------------------------------------------------
Euro-ADV Media & Music Bt.
H-1195 Budapest, XIX. Nagysándor J. u. 26.
H-1701 Budapest, P. O. Box 294.
Tel: +36-30449318
Fax: +36-30800318
E-mail: 
Magyarorszag CD, Magyarorszag legnagyobb peldanyszamban
ertekesitett kereskedelmi forgalomba kerult komolyzenei es CD-ROM 
kiadvanya
+ - epitkezes (mind) VÁLASZ  Feladó: (cikkei)

Hello Mindenkinek,

nem tudnatok ajanlani olyan epiteszt aki megbizhato es olcson tudna tervezni eg
y hazat? Koszi minden valaszt,

Ja, igen ugyanigy komuvest nem ismertek, aki hasonlo parameterekkel rendelkezik
?

Szivesen veszem mindenkinek a tapasztalatat hasonlo dolgokban.

meg egyszer kosz, Tamas

> --------------------------------------------------------------------------
Euro-ADV Media & Music Bt.
H-1195 Budapest, XIX. Nagysándor J. u. 26.
H-1701 Budapest, P. O. Box 294.
Tel: +36-30449318
Fax: +36-30800318
E-mail: 
Magyarorszag CD, Magyarorszag legnagyobb peldanyszamban
ertekesitett kereskedelmi forgalomba kerult komolyzenei es CD-ROM 
kiadvanya
+ - Hackerek es mosomedvek (mind) VÁLASZ  Feladó: (cikkei)

Cracker eredetet a cracking azaz torni. Szo szerint olyan embert jelent aki
megtori a programok masolasvedelmet. A szakma altalaban - kiveve a BSA-t es
a kereskedoket - pozitivan all hozzajuk. Epitenek, nem rombolnak.

A hacker a hacking szobol ered. Olyan, altalaban nem poztiv jeletesu szo,
amely azt a fickot jelenti, amelyik a szamitastechnikai rendszereket feltor
MODOSIT, rombol az esetek nagy reszeben. A koztudatban a ket kifejezest
egyenertekunek tartjak de ez nem igaz.

A mosomedvet kedvelo kolleganak: 

a lasa szetszereles muveszei, es ha nem lattal egy ora alatt tropara
szerelt lakast, akkor meglathatod. Emlekezz a Sorgyari Capricco
kiszolasara: Unatkoyik? Vasaroljon mosomedvet!

Kulonben a lakas szetszedes nemes muveszei: a tukan ( villanyvezetekek
elcsipeseben es falbol valo kirancigalasaban jeleskedik. Konnyu a nagy
szigetelo csorrel....)
Pavianok (mindet ami kinyithato, letorheto megeheto es megraghato.
Foxi: szeklabak, papucsok es a villanyvezetekek. Az utobbit sajnos nem
mindig elik tul.
Wombat: elso dolga, felszedi a parkettat es beassa magat az ala. A
konyhakovel nem boldogul.
Veznaujju maki: mindent aki van vizeletvel illatosit. a Hutoszekrenyben
kezdi....

Ugyhogy elore koss karbantartasi szerzodest a mosomegve melle.
Janos KIS Journalist and computer technical writer & FIDO VIRNET BPEST BBS
   SYSOP E-mail:   SMS-Email: 
E-mail: , , 
fax+ANS:+36-(1)-316-8896, Phone:+36-(60)-326-054, Mobil:+36-(20)-326-054,
+36-(30)-330-740
+ - NYELVTAN (mind) VÁLASZ  Feladó: (cikkei)

Azt hittem az iden tobbet nem irok nyelvi temaban, de...

1) Mitol szenvedo szerkezet a "fel van ma'szva..."? Ez hatarozoigenevi 
szerkezet. Szenvedo: felma'szattatott.

2) A -va, -ve szerkezet ABSZOLUT MAGYAROS, ha az (tartos) ALLAPOTOT fejez ki: 
a kapu BE VAN FESTVE.

3) Sekszpir, Vasington stb. tulajdonnev, amit - ha latinbetus - 
mindig betu szerint irunk, kiveve, ha elmagyarasodott koznevve valt, 
pl. rontgen (gep!), di'zelmotor (de kisse konzervativan: 
Diesel-motor).

4) Sza'jbo:netika cimmel jelent meg 1957-ben egy cikk a TECHNIKA-ban, 
polemizalva az akkor megjeleno "kibernetika" szo irasaval 
kapcsolatban. Az en velemenyem: attol fugg, hogy elmagyarosodott-e a 
szo, s hogyan ment at a koztudatba a KIEJTESE. Ha  fa'jl (file), akkor fa'jl, 
ha monitor, akkor monitor, 
ha kamera, akkor kamera, ha kompjuter, akkor kompjuter. 
Egyertelmuen rossz, ha felmegoldast valasztunk: komputer, Continenta'l vagy 
Kontinental (nem -a'l) szalloda. Ebben a vonatkozasban meg az akademia is 
kicsit "saros": psychiatria -pszichia'tria (a magyar ABC-ben NINCS ch 
betu!).  

5) Most nem kezedem el megint az ikes igek sztorijat, csak azt 
kerdezem meg az ikespartiaktol, hogyan mondjak ok maguk pl. a 
"va'lik" iget egyes szam elso szemelyben:

"Pali va'lik a felesegetol, en is va'lo..."

Nehogy azt monjak, hogy a kivetelek erositik a szabalyt, mert 
olyankor ESZ a mereg, ugyanis ez a mondas is - mint tudjuk - helytelen 
forditas (helyes: a kivetel probara teszi a szabalyt, s ha a probat
 kibirta, akkor valoban "erosodott" )

Lezo
   
   LLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLL
   LLL
   LLL   http://www.gjt.bme.hu/~lezo   
   LLL
   LLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLL
+ - moso maci (mind) VÁLASZ  Feladó: (cikkei)

C@fy !
Probald meg valamelyik allatkertet megkerdezni .
Ha nem sikerul , irj ujbol En tudok eladot (15-20.000 Forint) !
Sebi!
+ - Sieleshez utitarsakat keresek (mind) VÁLASZ  Feladó: (cikkei)

Szervusztok Sporttarsak!

 Jol sielo utitarsakat keresek sieleshez, vagy csatlakoznek fiatal
tarsasaghoz feb. 6-16 kornyeken.
Magamrol: 19 eves egyetemista, jol sielo, nem dohanyzo, fiu, meg minden (jo)
ha erdekel a dolog:   

mailto:

                                         Feri
+ - Auto Rendszam (mind) VÁLASZ  Feladó: (cikkei)

Sziasztok,

Mostanaban lehett hallani arrol, hogy szeptembertol lehet
majd olyan rendszamot is csinaltatni, ami nem 3 betubol es
3szambol all hanem akar 5 betubol es 1 szambol.
Ha jol tudom eddig is volt ra lehetoseg, hogy az autos maga
valassza meg a harom betut es a harom szamot (persze
valamennyit
fizteni kellett erte).
Ha van a vonalban valaki akinek van tapasztalata ez utobbi
megoldassal kapcsolatban(mennyi ideig tart elintezni,
mennyibe kerul, ki
az illetekes hatosag aki ezzel foglalkozik, stb.), akkor
kerem ossza meg velem e tudasat akar magan e-mailben, akar a
TIPPen keresztul.

Zorro
+ - Re: vannak magyarok UK - ban ? (mind) VÁLASZ  Feladó: (cikkei)

 wrote:

>     Sziasztok !
> Egy haverom jelenleg kint van Londonban,es eleg
> maganyos a srac, meg eleg jaratlan is az ottani dolgokban,
> megkerhetnelek Titeket ,akik Londonban,vagy annak
> kornyeken eltek ,hogy irjatok neki ?
>
> A cime :                
>
> a neve :               Kovacs Krisztian
>
> Koszonom szepen mindenkinek !
>
>                                             Jutka

  En ismerek egy Andras nevu 19 eves fiut, aki London kornyeken au-pair
kent dolgozik es szinten eleg egyedul van. E-mail lehetosege viszont
nincs, de megadom a telefonszamat : 0199 2640260.
                    Peter
+ - Re: Arpad vere (mind) VÁLASZ  Feladó: (cikkei)

Koszonom a tobbe-kevesbe trefas hozzaszolasokat.
A kerdesem arra vonatkozott, hogy homalyos emlekeim szerint
a Habsburgok olyan alapon tartottak igenyt Magyarorszag tronjara,
hogy valamilyen gyanus ervelessel Arpadhazi leszarmazast igazoltak.
Errol szerettem volna valamit hallani szakertotol. Sebaj,
majd legkozelebb. :-)

Udv, Gabor
+ - FORUM (mind) VÁLASZ  Feladó: (cikkei)

>Olyasmire gondoltam mint regen a HIX FORUM volt.

Ez mar kozelit.  Probald ki!
 
          "HVG Forum" >


-- 
Ausztral bevandorlasi informaciok magyarul:http://www.nlc.net.au/~bertok
+ - betonkevero (mind) VÁLASZ  Feladó: (cikkei)

Sziasztok!

Betonkeverot berelnek kb 1(2?) het mulva 3-4 napra. A legkisebb (80l?)
is megfelel. Tudtok tippet? Koszi.

Somogyi Henrik

(valaszt ne a tippbe, hanem a "" -ra kerem)
+ - Re: virus (mind) VÁLASZ  Feladó: (cikkei)

 wrote:

> Gyimesi Peter:
>
> NYB:  New York Business.
> Eleg randa kis virus, nekem is volt kb. 1/2 eve.  Addig en sem
> hallottam
> rola.  Eleg egyszeruen sikerult kiirtani.
>
> Kalasz Boglarka
> San Francisco

Par dolog
NYB New York Boor virus. Leirtani nem olyan egyszeru, kell hozza egy
boot lemez.
Nekem egy idoben az osszes floppymon rajta volt. F-prot rendesen
leirtja. De hazsnalhato, az fdisk /MBR is.
sajt

+ - Internet pszichologia (mind) VÁLASZ  Feladó: (cikkei)

Hello Mindenkinek

Bocs hogy belezavarok a Tipp olvasaba, de szuksegem lenne egy (-ket) jo
tippre. Kellene irnom egy kisebb tanulmanyt arrol, hogy az Internet
milyen hatassal van a mai fiatlokra. Ehhez gyujtok anyagot. A gaz az,
hogy eddig meg semmit sem talatam,ami ezzel fogalalkozna. Lehet, hogy
meg nem foglalkozot vele senki? Az egyik tanrom mondta, hogy az amerikai
konyvtarak a neten kozze teszik a konyvtar konyveinek a tartalmat. mar
ezzel is probalkoztam, de eddig meg semmi. Semmit nem talaltam.
Valoszinuleg en csinalom rosszul.
Szoval lenne valaki olyan jo es kuldenen nekem valaki valalmi
hasznalhato infot?
Lehetoleg magan leveleben, mert azt tuti megkapom, de ha hixbe irja azt
is megkoszonom

Koszi elore is minden hasznalhato tippert

Udv			Gyula
+ - Video (mind) VÁLASZ  Feladó: (cikkei)

Mindenkinek koszi a segitseget video ugyben.

Joco
+ - [HIRDETES] Mjuzikcenter elado (mind) VÁLASZ  Feladó: (cikkei)

Elado egy uj, nem hasznalt Schneider SPP-100-as mini Music Center. Van
benne: Lemezjatszo (!), AM-FM radio, Egyfeszkes magno (normal-metal
szalag), 5 pontos grafikus equalizer, 2 hangdoboz. Iranyar: 15,000.

Peter
+ - Re: Arpad vere (mind) VÁLASZ  Feladó: (cikkei)

Haho!

> 	Tegnap lattam a teveben egy riportot Habsburg Ottoval.
> Eppen azt reszletezte, hogy Magyarorszag jobbitasahoz hanyfele
> nemzet fiai-lanyai jarultak hozza, amikor kicsuszott a szajan
> valami olyasmi, hogy hat itt van peldaul o is, oseinek nagy
> resze nem magyar szarmazasu, kiveve Arpadot. Van joga
> egy Habsburgnak Arpad leszarmazottjakent szerepelni ?

Velemenyem szerint ez nem jog, hanem egyeni dontes kerdese.
Szo volt valahol (nem tudom, hogy hol) arrol, hogy hogyan hatarozzuk
meg azt, hogy valaki magyar-e? A valasz az volt, hogy magyar az, aki
annak vallja magat. Gondolom, nemcsak a szavak, hanem a tettek mezejen 
is ertelmezendo ez a meghatarozas.
Nekem ez a meghatarozas nagyon tetszik, mert az egyen a sajat szabad
elhatarozasa alapjan donthet arrol, hogy egy csoporthoz akar-e
tartozni, vagy sem.

Sok olyan nemzeti hosunk es nagy egyenisegunk van, akik alig tudtak
magyarul, a nevuk sem volt eppen magyar, de tetteikkel a magyar
nemzeti azonossagtudathoz hozzajarultak.

-- 
Bye / MfG / Udv
                                   Hosszu Peter
+ - [HIRDETES] (mind) VÁLASZ  Feladó: (cikkei)

Hello Mindenkinek!!!

Talan akad akit erdekel...
Elado 2 uj allapotban levo Salvo, fuzos korcsolya.
Az ar csak megegyezes kerdese.
Valaszokat inkabb magan e-mailbe legyszi!

ps: Szombathely kornyeke elonyben
+ - P166-os laptopot keresek (mind) VÁLASZ  Feladó: (cikkei)

Egy P166-os laptopot keresek: min - 16 MB RAM memoria	
                                                    - 1,3 GB-os vinyo
                                                    - beepitett eger
                                                    - CD drive 8x
                                                    - FDD(1,44 )
                                                    - SVGA monitor
Machintos gep nem erdekel. Ha tud valaki ilyesfelet, az irjon armegjelolessel a
 
 -ra, vagy hívja a (06)69\361-105 -öt. 
                                                                      Skoda Erv
in
+ - Re.Mu"zli (mind) VÁLASZ  Feladó: (cikkei)

>Felado :  [Hungary]
>Temakor: Mu"zli ( 5 sor )
>Idopont: Fri Nov 21 18:00:08 EST 1997 TIPP #2671
>Sziasztok!
>Ha tudja valaki kozuletek, hogyan lehet hazilag jo minosegu mu"zlit
>gyartani (lehetoleg olcsobban, mint ha kesz termeket vennek), (....)

Bioboltokban, nagyobb elelmiszer boltokban az alapanyagok megkaphatok.
Megnezed egy muzli dobozat es osszeallitod. Barmit rakhatsz bele, csak
nyers zabpelyhet ne tegyel bele, mert az nyersen nem jol emesztheto
(gondolom nem fozni akarod :-) es az innyed is osszedarabolja (ize is
pocsek). Sokkal olcsobban mint a boltban, ugysem tudod osszerakni kis
mennyisegben. Ezert javaslatkent nezzel meg egy-ket diszkontot, hogy hol a
legolcsobb a Cerbona muzli. Nem reklamozni akarom, de jo nehany muzlit
kiprobaltam mar es ez a legolcsobb es a legfinomabb. Nincs benne nyers
zabpehely es a legjobb alapanyagokat hasznaljak. Az arak megvizsgalasanal
az ne tevesszen meg, hogy a "nyugati", muzlik 400g-os kiszerelesuek, ezert
latszolag az aruk annyi, mint  ket cs. 200g-os cerbie. Viszont az osszes
"nyugati" muzli legalabb 60%-ban nyers zabpelyhet tartalmaz, mely egy
filleres dolog, raadasul ehetetlen :-( 
1 cs. cerbi 180-220Ft koruli es ez nagyevoknek is ket adag.

> --------------------------------------------------------
                          GiGi
e-mail: 
> ---------------------------------------------------------
+ - Magan nyugdij biztositasi onkormanyzat programa (mind) VÁLASZ  Feladó: (cikkei)

Szervusz Csabi,

Tudomasom szerint tobb ilyen progi is letezik,
s bar az elerhetosegukrol nem tudok, arrol azert igen, 
hogy ezeket altalaban ugy kalibraljak, hogy az jojjon ki,
amit ok akarnak... (az ok nyilvanvalo)
Ezen kivul elofordul, hogy a progi kotelezoen mindenkit
elkuld x ev munkanelkuli segelyre, ambator gyesre menni
viszont nem lehet, az inflacio meg annyi, amennyi...
egyszoval erdekes parameterekre is bukkanhatsz...
De inkabb hallgass meg egy szakembert, ...
(en nem vagyok az ebben a tamaban)

Udv, Janos
+ - kemeny egy dio (mind) VÁLASZ  Feladó: (cikkei)

Kedves Tippelok, 

Felmerult a 'juglon' nevu anyag a diofalevellel kapcsan, es korabban
mar a diofalavel alkalmatlansaga komposztolasra.

A juglon, masneven 'Mucin' vagy 'Regianin, egy naphtoquinon derivat
(C10 H6 O3). Meglehetos magas koncentracioban talahato meg a diofelek
(Juglandaceae: Juglandales) csaldjaban, me'g pedig elsosorban a
_friss_ leveleiben es a _zold_ diohelyban. Hasonloan, magas szinten
megtalalhato ez az anyag me'g pl. a fuzfa es nyirfa viragjaiban. 
A juglon bizonyos (de messze nem minden) noveny csirazasat, illetve
noveset is, akadalyozza. A juglone tovabba sok rovar es gomba
novekedset is akadalyozza. Cholin-acetyltransferaz inhibitor.
Eredmenyesen meggatolja a malariat okozo Plasmodium falciparum
szaporodasat is, valamint az allatorvoslaban nematoda fergek
fejlodeset.  Viszont: bizonyos bakteriumok kitunoen le tudjak bontani
(pl. bizonyos Pseudomonas fajtak), ezert nem is fejt ki hosszantarto
hatast a talajban (kiveve, ha tul vizes a talaj, mely megakadalyozza
eme bakteriumok gyors fejlodeset). Ezert minden tovabbi nelkul lehet
komposztalni is (nem beasni a foldbe!). Amint korabban -nehany hete-
irtam, azert ne csak diofalevelbol alljon a komposzt, hanem kulonbozo
novenyek- es egyeb szerves anyagokbol is.
Az, hogy a diofa alatt, kozeleben megfigyelhetoen nem nonek vagy
elpusztulnak bizonyos novenyek, nem a szaraz, lehullott levelben levo
juglon hatasa. Vagy csak egyszeru elarnyekolasi hatasrol van szo,
melyet egy nagy diofa alatt tapasztalhatunk. Avagy, bizonyos,
melyebben gyokerezo novenyek (elsosorban fak) elsatnyulnak es el is
pusztulhatnak, ha gyokeruk erintkezesbe kerul a diofa gyokerzetevel
(mely eleg melyre szokott hatolni). A diofa gyokerzetenek
horizontalis kiterjedese tobbszorose a korona terjedelmenek. 

Lehetoleg komposztaljunk mindent, ami komposztalhato, ha megvan ra az
alkalmunk, es ne egessuk el.

Udv.   Istvan
+ - Re: bungee jumping (mind) VÁLASZ  Feladó: (cikkei)

In article >,   writes:
>This is a multi-part message in MIME format.
>Ha valaki leugrik egy toronybol mondjuk (bungee jumping), es a torony =
>abban a pillanatban "letorik", amikor az ugro a legmelyebb ponton van, =
>akkor hogyan mozdul a kotel? Visszaugrik, vagy az egesz leszakad a =
>toronnyal egyutt, vagy osszeugrik, tehat a torony megindul lefele, de =
>kozben a kotel visszahuzodik??? Remelem, ertitek hogy gondolom!

Abban a pillanatban adva van egy 0 sebessegu ember, es egy kifeszitett,
es szinten 0 sebessegu gumikotel. Ha a toronybol elhanyagolhato
meretu darab torott le, akkor az ember tomege a meghatarozo a rendszerben.
A gumi jol pofan csapja a pacienst, aki picit felfele gyorsul,
mert azert megis van tomege a kotelnek. Kozben az egesz rendszer 1 g-vel
gyorsul lefele. Ha nagy a letorott darab, akkor egymes fele indul
el a roncs es az ember. (Kozben 1 g-vel elindulnak lefele is.)

kissg
+ - Re:szivrohamos autotolvaj+meg egy eset (mind) VÁLASZ  Feladó: (cikkei)

In article >,   writes:
>a 30 millios autojarol. A rendorok orultek, hogy vegre "megfogtak" !
>Egy "jo" ugyved tanacsara a tulaj azt vallotta, hogy LOPTA az autot
>6 e've !!! Mivel senki nem tett feljelentest a kocsilopasert (termeszetesen),
>es mar tobb mint o:t ev eltelt, ezert mar elavult az ugy, es mar soha senki
>emiatt nem foghatja perbe a tulajt ! Raadasul olyan datumot mondott, ami
>pont egy altalanos amnesztia (talan '89-ben volt ilyen?) ELO:TT volt !!!
>Nem rossz huzas, a jogi egyetemen meseltek egy eloadason.

Szerintem urbanus legenda. Be lehetett volna bizonyitani, hogy
nem lopott. Valahogy csak behoztak az orszagba!
Kiveve, ha VALOBAN lopott volt a kocsi. ;-)

Gabor
+ - Re: Magyar kartya (mind) VÁLASZ  Feladó: (cikkei)

In article >,   writes:
>Tudja kozuletek valaki, hogy honnan ered a "magyar kartya" (Ausztria?) es

Svajcbol. (Figyeld meg, Tell Vili legendajanak kepei szerepelnek rajta!)

Gabor
+ - Allas (mind) VÁLASZ  Feladó: (cikkei)

Sziasztok TIPP-elok!
Szegedi mozgasserult vagyok. Aki tud valami jo, otthon vegezheto melot, Emilezz
en egyet.  (Szamlakepes nem vagyok)
> ---------------------------------------------------------------------
BOY Mail to: 
> ---------------------------------------------------------------------
+ - Magyar Midik (mind) VÁLASZ  Feladó: (cikkei)

Hello!

Tudna -e valaki  olyan helyet mondani, ahonnan elsosorban magyar 
szamokat lehetne letolteni midi formatumban?

Koszonettel:
Domike
http://www.edasz.hu/~domotorg
+ - Zeneszek figyelem (mind) VÁLASZ  Feladó: (cikkei)

Sziasztok!

Budapesti egyuttes keres basszusgitarost es akkordgitarost.
Proba hetente haromszor (kedd, csutortok, vasarnap).
A felszereles adott, mar csak a gitarosok hianyoznak.
Stilus: Shadows es egyebek.

A valaszokat az e-mail cimemre kernem.

udv,
Zsuzsa
+ - Idegsebesz kerestetik ... (mind) VÁLASZ  Feladó: (cikkei)

Nem ismer valaki a neten olyan idegsebeszt akivel egy problemat meg tudnek 
beszelni ?
Please magan email-ben valaszolni ...

Smid Istvan from SMID-SOFT
E-mail: 
+ - targyak magassaga (mind) VÁLASZ  Feladó: (cikkei)

Tobbszor hallom amikor egi jelensegekrol beszelnek, hogy megbecsulik 
a magassagukat. Nem ertem, hogy hogy lehet egy jelenseg vagy targy 
magassagat meghatarozni az egbolton. Tud-e valaki erol bovebbet?

Rosza Peter
+ - Re: Magyar kartya (mind) VÁLASZ  Feladó: (cikkei)

A magyar kartya Svajcbol ered, eleg ranezni a lapjaira. Ott van a Tell
Vilmos-legenda minden alakja, ezert hivjak igazibol Tell-kartyanak. Azt nem
tudom, mikor alakult ki.


                                                    La'ng Attila D.
(latom az ekezeteket)                       
+ - >========= (mind) VÁLASZ  Feladó: (cikkei)

>Felado :  [Hungary]
>Temakor: epitkezes ( 33 sor )
>Idopont: Sun Nov 23 06:13:37 EST 1997 TIPP #2673
>- - - - - - - - - - - - - - - - - - - - - - - - - - - -
>
>Hello Mindenkinek,
>
>nem tudnatok ajanlani olyan epiteszt aki megbizhato es olcson tudna tervezni e
g
>y hazat? Koszi minden valaszt,
>
>Ja, igen ugyanigy komuvest nem ismertek, aki hasonlo parameterekkel rendelkezi
k
>?
>
>Szivesen veszem mindenkinek a tapasztalatat hasonlo dolgokban.
>
>meg egyszer kosz, Tamas
>
Szia!

Olvastam  a leveled,es van egy Vecsesi epitesz aki egesz szep hazakat csinal.Ne
m hiszem,hogy valami horibilis osszegekert dolgozna,mert sokan mondtak mar,hogy
 milyen joljartak vele.
Hivd fel ha erdekel:   
               
           Fazekas Miklos
           06-29-353-098
           06-30-445-008
           Vecses Kodaly Z. ut 2

Remelem tudtam segiteni.     

Csao!
Johnson

-----<<<mailto:>>>-----
+ - Thanx for Lyrics (mind) VÁLASZ  Feladó: (cikkei)

Koszi mindenkinek aki valaszolt dalszoveges keresemre.
A legtobb talalatot www.lyrics.ch kapta.
mailto:
+ - Re: mosomedve (mind) VÁLASZ  Feladó: (cikkei)

Hello,

> Elkestel.
> Mar elnek vadon mosomedvek Magyarorszagon.

> Valahonnan meglogtak es remekul erzik magukat.
 ...
> Ismerve Magyarorszagon az Orvvadasz helyzetet (es a remek torvenyeket)
> Ez nalunk nem lehet gond.

csuda jopofa dolog lehet elviccelodni, hogy mar vannak szabadon elo
mosomedvek nalunk, illetve, hogy majd az orvvadaszok kezben tartjak
a populaciojuk meretet.
A fenti megkozelites Douglas Adamsnal a MVP (Mas Valaki Problemaja)
neven szerepel: "olyasvalami, amit nem lathatsz, vagy nem latsz, vagy
az agyad nem engedi, hogy lasd, mert azt hiszed, hogy az nem a te
problemad".

De szerintem korantsem annyira vicces a dolog. Mert hogy mast ne mondjak
a mosomedve is elkaphatja a veszettseget (es ennek megfeleloen
terjesztheti
is azt).

Egyebkent egyik ismerosomre egyszer rabiztak megorzesre egy mosomedvet
es a vegen nagyon orult, hogy visszaadhatja a gazdajanak
(pl. a mosomedve igen csunyan megharapta).

Udv, Ak's
+ - Re: anyahajo (mind) VÁLASZ  Feladó: (cikkei)

Hello,

anyahajo ugyben egy apro megjegyzest tennek.
A hagyomanyos repulogepanyahajok olyan hajok voltak, amelyekrol inditani
lehetett ugyan repulogepet, de azok utana foldi repulotereken landoltak
(leszallni egy hajora ugyanis lenyegesen nehezebb feladat, ezert ezt
csak kesobb tudtak megoldani).
A ma szolgalatban levo hajok azonban hordozok (carrier), hiszen oda
a repulogepek vissza is tudnak terni.
Persze ez itthon Magyarorszagon, ahol a seregnek sem ez sem az nincs
a dolog nem sok kulonbseget jelent.

Udv, Ak's
+ - [HIRDETES] Elado SB16 + alaplap + RAM-ok (mind) VÁLASZ  Feladó: (cikkei)

.Sziasztok!

 .Egyik baratom eladna a kovetkezo cuccokat (az o hirdetese):
 .---
 .Sound Blaster 16, multi-CD, Vibra         8000Ft
 .Asus, P75-200 alaplap (P55T2P4, 512BC)   25000Ft
 .(ez boltban sokkal tobb)
 .2db. 8MB/36bit, nem EDO, 60ns RAM       2x3500Ft
 .
 .8db 1MB/9bit, nem EDO, SIMM RAM, 70ns     3200Ft
 .
 .Egyben ne'mileg kevesebb...
 .---
 .
 .
 .Erdeklodni nalam lehet, a valaszban kuldok telefonszamot.
 .
 .MTBF
+ - [HIRDETES] Telekocsit kinalok Nemeto.->Magyarorszag (mind) VÁLASZ  Feladó: (cikkei)

28-an penteken delutan, vagy 29-en reggel indulok Kolnbol.
Utvonal: Frankfurt->Wuerzburg->Passau->Linz->...
Zsolt  )
+ - [HIRDETES] ELADO: fenykepeszeti nagyitogep + szaritogep (mind) VÁLASZ  Feladó: (cikkei)

ELADO:

Opemus 4 tipusu, Meopta gyartmanyu (fenykepeszeti) nagyitogep.
   - f4.5/50 mm objektiv (rekeszelheto)
   - alaplap: 39 x 56.5 cm
   - alkalmas szines nagyitasra, 6 x 6-os es 35 mm-es filmre is
   - sokoldalu (dontheto, kifordithato; cserelheto objektiv stb.)
Leirassal, izzoval, *rendkivul ujszeru* - alig hasznalt - allapotban!

Tovabba:
   1 db 20 x 30 cm-es fenykepszarito (130 W), hozzavalo kromlappal
   1 db 30 x 40 cm-es fenykepszarito (240 W), hozzavalo kromlappal
Szinten *rendkivul jo* allapotban!

Mindez egyutt vagy akar kulon-kulon is!
+ - [HIRDETES] ELADO: multimeter, monitor, tap, KERES: EGA (mind) VÁLASZ  Feladó: (cikkei)

ELADO

* hasznalt FLUKE 87 digitalis kezi multimeter:
  4.5 digites kijelzo, true RMS-meres, auotm. es kezi
  mereshatarvaltas, f, C, G es kitolt. tenyezo-meres,
  relativ meres, min/max meres, atlagszamitas

* hasznalt Philips monokrom monitor:
  30 cm kepatlo, kompozit video bemenet (pl.
  Commoderehoz), audio bemenet, hangszoro

* 5 V/10 A, +/-15 V/1 A tapegyseg

VENNEK

* hasznalt, de mukodokepes EGA monitort

Erdeklodni a 203-4313/172 szamon lehet.

EZ EGY TOVABBITOTT KERES, NEKEM NE IRJATOK - Feri
+ - [HIRDETES] GH 198 tartozekok (mind) VÁLASZ  Feladó: (cikkei)

Sziasztok!
Ericsson GH 198-as idokbol a kovetkezo maradvanyimat kinalom eladasra:
1 db 1300 mAh akku (keveset hasznalt, kozel uj)
1 db autos tolto (ujszeru allapotban)
1 db bortok
1 db univerzalis mobiltelefon tarto autoba (szinte minden tipushoz jo)

Az egeszet odaadnam 7.000 HUF elleneben, akar postai utanvettel is.

Irj, vagy hivj a 06-20-735-734-es szamon.
Udv, Markof
+ - [HIRDETES] Ujabb tozsdetanfolyam (mind) VÁLASZ  Feladó: (cikkei)

Kedves Tippelok !

December elejen ujabb tozsdetanfolyamot inditunk.
Teljesen kezdo honpolgarokat varunk, akiket erdekel,
hogy lehet egy kis penzt csinalni a tozsden.
( Nem ordongosseg... )
Nem csak szaraz elmeletet tanitunk, hanem gyakorlati
ismereteket is, kulonos tekintettel a budapesti tozsdere
es brokercegekre.
A kurzusok 30 orasak, hetent ketszer, 17-20 oraig,
kedd-penteki  beosztassal.
( Es nem utolso sorban az ar sem borsos... )

Erdeklodni,  bovebb felvilagositast kerni, jelentkezni a
 -emilen lehet vagy a
203-3868/125 melleken, 8 - 13 ora kozott,
a 06-30-717-550 mobilon egesz nap.
Kerjuk, hogy a levelben telefonszamot irjatok,
amelyen elerhetoek vagytok.

Udv - GMX Kft.
+ - [HIRDETES] Hibas NOKIA 1610 elado! (mind) VÁLASZ  Feladó: (cikkei)

Sziasztok!

 Fajo szivvel megvalnek szoftverhibas (bevizsgalt) kifogastalan
esztetikai allapotban levo garazsban tartott :-)) (bortokos) NOKIA 1610-es
mobiltelefonomtol. A telefon nem akcios, barmilyen kartyaval uzemel,
csak javitast igenyel. Doboz, papirok megvannak.

Varom az ajanlatokat!
(csere is erdekel)

Udv
Tomi Boy

+ - [HIRDETES] =?ISO-8859-2?Q?Sharp_Manager_Calculatur_elad (mind) VÁLASZ  Feladó: (cikkei)

64 Kb-os Sharp calculator eladó !
Funkciói:
-havi, heti, napi feljegyzések
- 3 részes telefon regiszter
- jegyzet
- számológép
- kiegészítő  / most tetrisz kártya van hozzá
- Világ idők városok szerinti felosztásban
- ébresztő és figyelmeztető funkció
A szerkezet 4 v. 5 éves jó állapotú
Ára 11 000 Magyar kemény Forint.

Érdeklődni E-mail : 
vagy 30 626 651

Imre
+ - [HIRDETES] Fordhoz ajtokat keresek (mind) VÁLASZ  Feladó: (cikkei)

Sziasztok!

93-as Fiestahoz keresek bal elso es hatso ajtot bontottan.
Nem tudtok valami jo otlettel szolgalni, mert minel olcsobban kellene.
Elore is koszonom !!

Udv : Tuli Gyula
mailto:
mobil : 06-20-713-102
sms : http://www.mtn.co.za/regulars/sms/
+ - [HIRDETES] lakas (mind) VÁLASZ  Feladó: (cikkei)

Lakas elado /olcson /




 .../Miskolcon. 28 m/2, IV. emeleti /lift nincs/,osszkomfortos,
telefonos, erkelyes, szonyegpadlos, azonnal bekoltozheto.
Erdeklodni lehet a 49/ 352-710 , vagy a 20/ 449-605/os szamon 8-22 h-ig.
+ - [HIRDETES] Amszterdam-Bp telekocsi (mind) VÁLASZ  Feladó: (cikkei)

Kedves TIPP,
van-e valaki, aki december 19 (pentek) kozvetlen kornyeken
utazik haza, es ket utast el tudna vinni a szokasos
feltetelekkel a fenti utvonalon? Meg udvosebb volna, ha
januar 4 (vasarnap) korul a visszautra is sort lehetne
keriteni. A temerdeksok valaszt  cimen koszonettel
fogadom:				Fleiner Tamas
					masodik osztalyos tanulo

AGYKONTROLL ALLAT AUTO AZSIA BUDAPEST CODER DOSZ FELVIDEK FILM FILOZOFIA FORUM GURU HANG HIPHOP HIRDETES HIRMONDO HIXDVD HUDOM HUNGARY JATEK KEP KONYHA KONYV KORNYESZ KUKKER KULTURA LINUX MAGELLAN MAHAL MOBIL MOKA MOZAIK NARANCS NARANCS1 NY NYELV OTTHON OTTHONKA PARA RANDI REJTVENY SCM SPORT SZABAD SZALON TANC TIPP TUDOMANY UK UTAZAS UTLEVEL VITA WEBMESTER WINDOWS